Circuit-Level Timing Error Tolerance for Low-Power DSP Filters and Transforms

In this paper, we present a novel circuit-level timing error mitigation technique, which aims to increase energy-efficiency of digital signal processing datapaths without loss of robustness. Timing errors are detected using razor flip-flops on critical-paths, and the error-rate feedback is used to control a dynamic voltage scaling control loop. In place of conventional razor error correction by replay, we propose a new approach to bound the magnitude of intermittent timing errors at the circuit level. A timing guard-band is created by shaping the path delay distribution such that the critical paths correspond to a group of least-significant bit registers. These end-points are ensured to be critical by modifying the topology of the final stage carry-merge adder, and by using tool-based device sizing. Hence, timing violations lead to weakly correlated logical errors of small magnitude in a mean-squared-error sense. We examine this approach in an finite-impulse response (FIR) filter and a 2-D discrete cosine transform implementation, in 32-nm CMOS. Power saving compared to a conventional design at iso-frequency is 21%-23% at the typical corner, while retaining a voltage guard-band to protect against fast transient changes in switching activity and supply noise. The impact on minimum clock period is small (16%-20%), as it does not necessitate the use of ripple-carry adders and also requires only a bare minimum of additional design effort.

[1]  Naresh R. Shanbhag,et al.  Error-Resilient Motion Estimation Architecture , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  S. Borkar,et al.  A 1.9 Gb/s 358 mW 16–256 State Reconfigurable Viterbi Accelerator in 90 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[3]  Saurabh Dighe,et al.  Adaptive Frequency and Biasing Techniques for Tolerance to Dynamic Temperature-Voltage Variations and Aging , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[4]  A. W. M. van den Enden,et al.  Discrete Time Signal Processing , 1989 .

[5]  Paolo A. Aseron,et al.  A 45 nm Resilient Microprocessor Core for Dynamic Variation Tolerance , 2011, IEEE Journal of Solid-State Circuits.

[6]  Ephraim Feig,et al.  Fast algorithms for the discrete cosine transform , 1992, IEEE Trans. Signal Process..

[7]  Soraya Ghiasi,et al.  A Distributed Critical-Path Timing Monitor for a 65nm High-Performance Microprocessor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[8]  Naresh R. Shanbhag,et al.  Reliable low-power digital signal processing via reduced precision redundancy , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  Ke-Horng Chen,et al.  A DVS embedded power management for high efficiency integrated SOC in UWB system , 2009, 2009 IEEE Asian Solid-State Circuits Conference.

[10]  Naresh R. Shanbhag,et al.  Error-resilient low-power Viterbi decoders , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[11]  Bishop Brock,et al.  A 32-bit PowerPC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scaling , 2002, IEEE J. Solid State Circuits.

[12]  David Blaauw,et al.  A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation , 2011, IEEE Journal of Solid-State Circuits.

[13]  Kaushik Roy,et al.  Process-Variation Resilient and Voltage-Scalable DCT Architecture for Robust Low-Power Computing , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Kaushik Roy,et al.  Variation-Aware Low-Power Synthesis Methodology for Fixed-Point FIR Filters , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Reto Zimmermann,et al.  Binary adder architectures for cell-based VLSI and their synthesis , 1997 .

[16]  Izzat Darwazeh,et al.  Error-resilient low-power DSP via path-delay shaping , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[17]  Yang Liu,et al.  Computation Error Analysis in Digital Signal Processing Systems With Overscaled Supply Voltage , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  Keshab K. Parhi,et al.  VLSI digital signal processing systems , 1999 .

[19]  David M. Bull,et al.  RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance , 2009, IEEE Journal of Solid-State Circuits.

[20]  Kaushik Roy,et al.  Design Methodology for Low Power and Parametric Robustness Through Output-Quality Modulation: Application to Color-Interpolation Filtering , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Jianqin Zhou,et al.  On discrete cosine transform , 2011, ArXiv.

[22]  Scott A. Mahlke,et al.  DVFS in loop accelerators using BLADES , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[23]  Naresh R. Shanbhag,et al.  Low-power filtering via adaptive error-cancellation , 2003, IEEE Trans. Signal Process..

[24]  N. Ahmed,et al.  Discrete Cosine Transform , 1996 .

[25]  Behrooz Parhami,et al.  Computer arithmetic - algorithms and hardware designs , 1999 .

[26]  Wen-Hsiung Chen,et al.  A Fast Computational Algorithm for the Discrete Cosine Transform , 1977, IEEE Trans. Commun..

[27]  Naresh R. Shanbhag,et al.  Soft digital signal processing , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[28]  R. Hegde,et al.  A voltage overscaled low-power digital filter IC , 2004, IEEE Journal of Solid-State Circuits.