Modeling Power Consumption and Temperature in TLM Models

Many techniques and tools exist to estimate the power consumption and the temperature map of a chip. These tools help the hardware designers develop power efficient chips in the presence of temperature constraints. For this task, the application can be ignored or at least abstracted by some high level scenarios; at this stage, the actual embedded software is generally not available yet. However, after the hardware is defined, the embedded software can still have a significant influence on the power consumption; i.e., two implementations of the same application can consume more or less power. Moreover, the actual software power manager ensuring the temperature constraints, usually by acting dynamically on the voltage and frequency, must itself be validated. Validating such power management policy requires a model of both actuators and sensors, hence a closed-loop simulation of the functional model with a non-functional one. In this paper, we present and compare several tools to simulate the power and thermal behavior of a chip together with its functionality. We explore several levels of abstraction and study the impact on the precision of the analysis.

[1]  Yunjian Jiang,et al.  State-based power analysis for systems-on-chip , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[2]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[3]  Wolfgang Rosenstiel,et al.  High-performance timing simulation of embedded software , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[4]  Ming Zhang,et al.  Where is the energy spent inside my app?: fine grained energy accounting on smartphones with Eprof , 2012, EuroSys '12.

[5]  No License,et al.  Intel ® 64 and IA-32 Architectures Software Developer ’ s Manual Volume 3 A : System Programming Guide , Part 1 , 2006 .

[6]  Wolfgang Rosenstiel,et al.  An ESL timing & power estimation and simulation framework for heterogeneous socs , 2014, 2014 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV).

[7]  Graziano Pravadelli,et al.  Automatic generation of power state machines through dynamic mining of temporal assertions , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[8]  David Atienza,et al.  3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[9]  Luca Benini,et al.  Platform 2012, a many-core computing accelerator for embedded SoCs: Performance evaluation of visual analytics applications , 2012, DAC Design Automation Conference 2012.

[10]  Luca Benini,et al.  System-level power estimation and optimization , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[11]  David J. Greaves,et al.  TLM POWER3: Power estimation methodology for SystemC TLM 2.0 , 2012, Proceeding of the 2012 Forum on Specification and Design Languages.

[12]  P. P. Chakrabarti,et al.  Thermal analysis of multiprocessor SoC applications by simulation and verification , 2010, TODE.

[13]  Florence Maraninchi,et al.  Co-simulation of Functional SystemC TLM Models with Power/Thermal Solvers , 2013, 2013 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum.

[14]  Nicolas Halbwachs,et al.  Automatic testing of reactive systems , 1998, Proceedings 19th IEEE Real-Time Systems Symposium (Cat. No.98CB36279).

[15]  M. Slee,et al.  Thrift : Scalable Cross-Language Services Implementation , 2022 .

[16]  William Fornaciari,et al.  An accurate simulation framework for thermal explorations and optimizations , 2015, RAPIDO '15.

[17]  Florence Maraninchi,et al.  Co-Simulation of a SystemC TLM Virtual Platform with a Power Simulator at the Architectural Level: Case of a Set-Top Box , 2012, DAC 2012.

[18]  Chang-Chih Chen,et al.  System-level modeling and microprocessor reliability analysis for backend wearout mechanisms , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[19]  Sylvian Kaiser,et al.  ESL solutions for low power design , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[20]  Giovanni De Micheli,et al.  Multicore thermal management with model predictive control , 2009, 2009 European Conference on Circuit Theory and Design.

[21]  Michel Auguin,et al.  A Methodology for Power-Aware Transaction-Level Models of Systems-on-Chip Using UPF Standard Concepts , 2011, PATMOS.

[22]  D.D. Buss Technology in the Internet age , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[23]  Oliver Bringmann,et al.  ESL power analysis of embedded processors for temperature and reliability estimations , 2009, CODES+ISSS '09.

[24]  Bernhard Fischer,et al.  Power modeling and analysis in early design phases , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[25]  Farid N. Najm,et al.  Towards a high-level power estimation capability , 1995, ISLPED '95.

[26]  Paolo Crippa,et al.  System-Level Power Analysis Methodology Applied to the AMBA AHB Bus , 2003, DATE.

[27]  Pascal Vivet,et al.  Power Modeling in SystemC at Transaction Level, Application to a DVFS Architecture , 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.

[28]  Bruce Jacob,et al.  Accurate and fast system-level power modeling: An XScale-based case study , 2007, TECS.

[29]  Florence Maraninchi,et al.  System-level modeling of energy in TLM for early validation of power and thermal management , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[30]  Marios C. Papaefthymiou,et al.  Precomputation-based sequential logic optimization for low power , 1994, ICCAD '94.

[31]  Paolo Crippa,et al.  Instruction based power consumption estimation methodology , 2002, 9th International Conference on Electronics, Circuits and Systems.

[32]  Bharadwaj Veeravalli,et al.  Reliability and Energy-Aware Mapping and Scheduling of Multimedia Applications on Multiprocessor Systems , 2016, IEEE Transactions on Parallel and Distributed Systems.

[33]  Amir Zjajo,et al.  Ctherm: An Integrated Framework for Thermal-Functional Co-simulation of Systems-on-Chip , 2015, 2015 23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing.

[34]  Luca Benini,et al.  Thermal and Energy Management of High-Performance Multicores: Distributed and Self-Calibrating Model-Predictive Controller , 2013, IEEE Transactions on Parallel and Distributed Systems.

[35]  Kevin Skadron,et al.  HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[36]  Alain Greiner,et al.  An Efficient TLM/T Modeling and Simulation Environment Based on Conservative Parallel Discrete Event Principles , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[37]  Maria Domenica Di Benedetto,et al.  Hybrid systems : computation and control : 4th International Workshop, HSCC 2001, Rome, Italy, March 28-30, 2001 : proceedings , 2001 .

[38]  Josef Weidendorfer,et al.  Sequential Performance Analysis with Callgrind and KCachegrind , 2008, Parallel Tools Workshop.

[39]  Massoud Pedram,et al.  Power-efficient control of thermoelectric coolers considering distributed hot spots , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[40]  Matthieu Moy,et al.  Fast and Modular Transaction-Level-Modeling and Simulation of Power and Temperature , 2014 .

[41]  Sharad Malik,et al.  Power analysis of embedded software: a first step towards software power minimization , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[42]  Narayanan Vijaykrishnan,et al.  A power estimation methodology for systemC transaction level models , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[43]  Luca Ferro,et al.  Early design stage thermal evaluation and mitigation: The locomotiv architectural case , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[44]  Luca Benini,et al.  Automatic synthesis of low-power gated-clock finite-state machines , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[45]  Lothar Thiele,et al.  System-level power and timing variability characterization to Compute Thermal Guarantees , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[46]  Kim G. Larsen,et al.  Minimum-Cost Reachability for Priced Timed Automata , 2001, HSCC.

[47]  Hui Xiao,et al.  SimSoC: A full system simulation software for embedded systems , 2009, 2009 IEEE International Workshop on Open-source Software for Scientific Computation (OSSC).

[48]  Yiannakis Sazeides,et al.  An analytical model of temperature in microprocessors , 2005 .