An efficient configuration methodology for time-division multiplexed single resources
暂无分享,去创建一个
Premysl Sucha | Zdenek Hanzálek | Benny Akesson | Anna Minaeva | Andrew Nelson | B. Akesson | P. Šůcha | Z. Hanzálek | Andrew Nelson | Anna Minaeva
[1] Kees G. W. Goossens,et al. A reconfigurable real-time SDRAM controller for mixed time-criticality systems , 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[2] Kees G. W. Goossens,et al. Conservative open-page policy for mixed time-criticality memory controllers , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[3] Pieter van der Wolf,et al. Real-Time Analysis for Memory Access in Media Processing SoCs: A Practical Approach , 2008, 2008 Euromicro Conference on Real-Time Systems.
[4] Anujan Varma,et al. Latency-rate servers: a general model for analysis of traffic scheduling algorithms , 1996, Proceedings of IEEE INFOCOM '96. Conference on Computer Communications.
[5] Marco Caccamo,et al. Memory-centric scheduling for multicore hard real-time systems , 2012, Real-Time Systems.
[6] Marcel Mongeau,et al. Event-based MILP models for resource-constrained project scheduling problems , 2011, Comput. Oper. Res..
[7] Tomas Henriksson,et al. Heterogeneous multi-core platform for consumer multimedia applications , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[8] Kees G. W. Goossens,et al. Architectures and modeling of predictable memory controllers for improved system integration , 2011, 2011 Design, Automation & Test in Europe.
[9] Lothar Thiele,et al. Worst-case response time analysis of resource access models in multi-core systems , 2010, Design Automation Conference.
[10] Petru Eles,et al. Bus Access Optimization for Predictable Implementation of Real-Time Applications on Multiprocessor Systems-on-Chip , 2007, 28th IEEE International Real-Time Systems Symposium (RTSS 2007).
[11] Edward A. Lee,et al. Synthesis of Embedded Software from Synchronous Dataflow Specifications , 1999, J. VLSI Signal Process..
[12] Stephen A. Edwards,et al. The Case for the Precision Timed (PRET) Machine , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[13] Paul Pop,et al. Synthesis of communication schedules for TTEthernet-based mixed-criticality systems , 2012, CODES+ISSS.
[14] Lothar Thiele,et al. Timing Analysis for TDMA Arbitration in Resource Sharing Systems , 2010, 2010 16th IEEE Real-Time and Embedded Technology and Applications Symposium.
[15] Alexsandro C. Bonatto,et al. Multichannel SDRAM controller design for H.264/AVC video decoder , 2011, 2011 VII Southern Conference on Programmable Logic (SPL).
[16] Randeep Bhatia,et al. Minimizing service and operation costs of periodic scheduling , 2002, SODA '98.
[17] Pieter van der Wolf,et al. Performance Analysis of SoC Architectures Based on Latency-Rate Servers , 2008, 2008 Design, Automation and Test in Europe.
[18] Shuvra S. Bhattacharyya,et al. Embedded Multiprocessors: Scheduling and Synchronization , 2000 .
[19] Tulika Mitra,et al. Modeling shared cache and bus in multi-cores for timing analysis , 2010, SCOPES.
[20] Orlando Moreira,et al. Scheduling multiple independent hard-real-time jobs on a heterogeneous multiprocessor , 2007, EMSOFT '07.
[21] Sander Stuijk,et al. Throughput-Buffering Trade-Off Exploration for Cyclo-Static and Synchronous Dataflow Graphs , 2008, IEEE Transactions on Computers.
[22] Axel Jantsch,et al. Slot allocation using logical networks for TDM virtual-circuit configuration for network-on-chip , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[23] Kees G. W. Goossens,et al. Architecture and optimal configuration of a real-time multi-channel memory controller , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[24] K. B. Akesson,et al. Memory-map selection for firm real-time memory controllers , 2012 .
[25] Kees van Berkel,et al. Multi-core for mobile phones , 2009, DATE.
[26] Kees G. W. Goossens,et al. A General Framework for Average-Case Performance Analysis of Shared Resources , 2013, 2013 Euromicro Conference on Digital System Design.
[27] Peter Marwedel,et al. Bus-Aware Multicore WCET Analysis through TDMA Offset Bounds , 2011, 2011 23rd Euromicro Conference on Real-Time Systems.
[28] Kees G. W. Goossens,et al. A Unified Approach to Mapping and Routing on a Network-on-Chip for Both Best-Effort and Guaranteed Service Traffic , 2007, VLSI Design.
[29] C.H. van Berkel,et al. Multi-core for mobile phones , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[30] Axel Jantsch,et al. Slot allocation using logical networks for TDM virtual-circuit configuration for network-on-chip , 2007, ICCAD 2007.
[31] Rene L. Cruz,et al. A calculus for network delay, Part I: Network elements in isolation , 1991, IEEE Trans. Inf. Theory.
[32] Kees G. W. Goossens,et al. Virtual execution platforms for mixed-time-criticality systems: the CompSOC architecture and design flow , 2013, SIGBED.
[33] Gerard J. M. Smit,et al. Modelling run-time arbitration by latency-rate servers in dataflow graphs , 2007, SCOPES '07.
[34] Kees G. W. Goossens,et al. Real-Time Scheduling Using Credit-Controlled Static-Priority Arbitration , 2008, 2008 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.