A RISC-V Vector Processor With Simultaneous-Switching Switched-Capacitor DC–DC Converters in 28 nm FDSOI

This work demonstrates a RISC-V vector microprocessor implemented in 28 nm FDSOI with fully integrated simultaneous-switching switched-capacitor DC-DC (SC DC-DC) converters and adaptive clocking that generates four on-chip voltages between 0.45 and 1 V using only 1.0 V core and 1.8 V IO voltage inputs. The converters achieve high efficiency at the system level by switching simultaneously to avoid charge-sharing losses and by using an adaptive clock to maximize performance for the resulting voltage ripple. Details about the implementation of the DC-DC switches, DC-DC controller, and adaptive clock are provided, and the sources of conversion loss are analyzed based on measured results. This system pushes the capabilities of dynamic voltage scaling by enabling fast transitions (20 ns), simple packaging (no off-chip passives), low area overhead (16%), high conversion efficiency (80%-86%), and high energy efficiency (26.2 DP GFLOPS/W) for mobile devices.

[1]  Richard M. Russell,et al.  The CRAY-1 computer system , 1978, CACM.

[2]  T. Kobayashi,et al.  A current-mode latch sense amplifier and a static power saving input buffer for low-power architecture , 1992, 1992 Symposium on VLSI Circuits Digest of Technical Papers.

[3]  Seongsoo Lee,et al.  Run-time voltage hopping for low-power real-time systems , 2000, DAC.

[4]  R.W. Brodersen,et al.  A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.

[5]  Christopher Batten,et al.  Cache Refill/Access Decoupling for Vector Machines , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).

[6]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[7]  Mark Horowitz,et al.  Integrated Regulation for Energy-Efficient Digital Circuits , 2007, IEEE Journal of Solid-State Circuits.

[8]  S.R. Sanders,et al.  Analysis and Optimization of Switched-Capacitor DC–DC Converters , 2008, IEEE Transactions on Power Electronics.

[9]  Michael Douglas Seeman,et al.  A Design Methodology for Switched-Capacitor DC-DC Converters , 2009 .

[10]  Zhiyi Yu,et al.  A 167-Processor Computational Platform in 65 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[11]  A.P. Chandrakasan,et al.  A 65 nm Sub-$V_{t}$ Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter , 2008, IEEE Journal of Solid-State Circuits.

[12]  Michael D. Seeman,et al.  A comparative analysis of Switched-Capacitor and inductor-based DC-DC conversion technologies , 2010, 2010 IEEE 12th Workshop on Control and Modeling for Power Electronics (COMPEL).

[13]  Christopher Batten,et al.  Exploring the Tradeoffs between Programmability and Efficiency in Data-Parallel Accelerators , 2013, ACM Trans. Comput. Syst..

[14]  Saurabh Dighe,et al.  Within-Die Variation-Aware Dynamic-Voltage-Frequency-Scaling With Optimal Core Allocation and Thread Hopping for the 80-Core TeraFLOPS Processor , 2011, IEEE Journal of Solid-State Circuits.

[15]  Elad Alon,et al.  Design Techniques for Fully Integrated Switched-Capacitor DC-DC Converters , 2011, IEEE Journal of Solid-State Circuits.

[16]  O. Rozeau,et al.  28nm FDSOI technology platform for high-speed low-voltage digital applications , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[17]  Stephen T. Kim,et al.  A 0.45–1V fully integrated reconfigurable switched capacitor step-down DC-DC converter with high density MIM capacitor in 22nm tri-gate CMOS , 2013, 2013 Symposium on VLSI Circuits.

[18]  John Crossley,et al.  A sub-ns response fully integrated battery-connected switched-capacitor voltage regulator delivering 0.19W/mm2 at 73% efficiency , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[19]  Keith A. Bowman,et al.  A 22 nm All-Digital Dynamically Adaptive Clock Distribution for Supply Voltage Droop Tolerance , 2013, IEEE Journal of Solid-State Circuits.

[20]  Yunsup Lee,et al.  A 45nm 1.3GHz 16.7 double-precision GFLOPS/W RISC-V processor with vector accelerators , 2014, ESSCIRC 2014 - 40th European Solid State Circuits Conference (ESSCIRC).

[21]  Vivek De,et al.  A 500 MHz, 68% efficient, fully on-die digitally controlled buck Voltage Regulator on 22nm Tri-Gate CMOS , 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.

[22]  James Tschanz,et al.  Conductance modulation techniques in switched-capacitor DC-DC converter for maximum-efficiency tracking and ripple mitigation in 22nm Tri-gate CMOS , 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

[23]  Robin Wilson,et al.  A 3 GHz Dual Core Processor ARM Cortex TM -A9 in 28 nm UTBB FD-SOI CMOS With Ultra-Wide Voltage Range and Energy Efficiency Optimization , 2014, IEEE Journal of Solid-State Circuits.

[24]  Fabrice Paillet,et al.  FIVR — Fully integrated voltage regulators on 4th generation Intel® Core™ SoCs , 2014, 2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014.

[25]  Yunsup Lee,et al.  The RISC-V Instruction Set Manual , 2014 .

[26]  Kevin G. Stawiasz,et al.  5.2 Distributed system of digitally controlled microregulators enabling per-core DVFS for the POWER8TM microprocessor , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[27]  Johann W. Kolar,et al.  4.7 A sub-ns response on-chip switched-capacitor DC-DC voltage regulator delivering 3.7W/mm2 at 90% efficiency using deep-trench capacitors in 32nm SOI CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[28]  Wilfred Gomes,et al.  5.9 Haswell: A family of IA 22nm processors , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[29]  Rajesh Kumar,et al.  Haswell: A Family of IA 22 nm Processors , 2015, IEEE Journal of Solid-State Circuits.

[30]  Matthew J. Turnquist,et al.  Fully integrated DC-DC converter and a 0.4V 32-bit CPU with timing-error prevention supplied from a prototype 1.55V Li-ion battery , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[31]  David Bol,et al.  8.4 A 0.33V/-40°C process/temperature closed-loop compensation SoC embedding all-digital clock multiplier and DC-DC converter exploiting FDSOI 28nm back-gate biasing , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[32]  Elad Alon,et al.  Per-Core DVFS With Switched-Capacitor Converters for Energy Efficiency in Manycore Processors , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[33]  James Tschanz,et al.  8.6 Enabling wide autonomous DVFS in a 22nm graphics execution core using a digitally controlled hybrid LDO/switched-capacitor VR with fast droop mitigation , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[34]  Elad Alon,et al.  A RISC-V vector processor with tightly-integrated switched-capacitor DC-DC converters in 28nm FDSOI , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[35]  Ashish Khanna,et al.  Broadwell: A family of IA 14nm processors , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[36]  James Tschanz,et al.  Conductance Modulation Techniques in Switched-Capacitor DC-DC Converter for Maximum-Efficiency Tracking and Ripple Mitigation in 22 nm Tri-Gate CMOS , 2015, IEEE Journal of Solid-State Circuits.

[37]  Borivoje Nikolic,et al.  A 550–2260MHz self-adjustable clock generator in 28nm FDSOI , 2015, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC).

[38]  Toshiaki Masuhara,et al.  IEEE Journal of Solid State Circuits: The Evolution and Future of This Flagship Publication , 2016, IEEE Solid-State Circuits Magazine.