A Security-aware and LUT-based CAD Flow for the Physical Synthesis of eASICs
暂无分享,去创建一个
[1] H. Mahmoodi,et al. Breaking the Design and Security Trade-off of Look-up-table–based Obfuscation , 2022, ACM Trans. Design Autom. Electr. Syst..
[2] Ramesh Karri,et al. Exploring eFPGA-based Redaction for IP Protection , 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[3] Zain Ul Abideen,et al. From FPGAs to Obfuscated eASICs: Design and Security Trade-offs , 2021, 2021 Asian Hardware Oriented Security and Trust Symposium (AsianHOST).
[4] Swarup Bhunia,et al. SCOPE: Synthesis-Based Constant Propagation Attack on Logic Locking , 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[5] Pierluigi Nuzzo,et al. Enhancing SAT-Attack Resiliency and Cost-Effectiveness of Reconfigurable-Logic-Based Circuit Obfuscation , 2021, 2021 IEEE International Symposium on Circuits and Systems (ISCAS).
[6] Lawrence T. Pileggi,et al. Top-down Physical Design of Soft Embedded FPGA Fabrics , 2021, FPGA.
[7] Zain Ul Abideen,et al. An Open-source Library of Large Integer Polynomial Multipliers , 2021, 2021 24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).
[8] David Z. Pan,et al. ReGDS: A Reverse Engineering Framework from GDSII to Gate-level Netlist , 2020, 2020 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).
[9] Yiorgos Makris,et al. DECOY: DEflection-Driven HLS-Based Computation Partitioning for Obfuscating Intellectual PropertY , 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).
[10] Tiago D. Perez,et al. A Survey on Split Manufacturing: Attacks, Defenses, and Challenges , 2020, IEEE Access.
[11] Lawrence Pileggi,et al. Latch-Based Logic Locking , 2020, 2020 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).
[12] Jeyavijayan Rajendran,et al. Removal Attacks on Logic Locking and Camouflaging Techniques , 2020, IEEE Transactions on Emerging Topics in Computing.
[13] Avesta Sasan,et al. Security and Complexity Analysis of LUT-based Obfuscation: From Blueprint to Reality , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[14] Avesta Sasan,et al. Threats on Logic Locking: A Decade Later , 2019, ACM Great Lakes Symposium on VLSI.
[15] Avesta Sasan,et al. On Custom LUT-based Obfuscation , 2019, ACM Great Lakes Symposium on VLSI.
[16] Bo Hu,et al. Functional Obfuscation of Hardware Accelerators through Selective Partial Design Extraction onto an Embedded FPGA , 2019, ACM Great Lakes Symposium on VLSI.
[17] Avesta Sasan,et al. LUT-Lock: A Novel LUT-Based Logic Obfuscation for FPGA-Bitstream and ASIC-Hardware Protection , 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[18] Ozgur Sinanoglu,et al. Evolution of logic locking , 2017, 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).
[19] Jeyavijayan Rajendran,et al. Provably-Secure Logic Locking: From Theory To Practice , 2017, CCS.
[20] Ankur Srivastava,et al. Delay locking: Security enhancement of logic locking against IC counterfeiting and overproduction , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
[21] Meng Li,et al. AppSAT: Approximately deobfuscating integrated circuits , 2017, 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).
[22] Meng Li,et al. Provably secure camouflaging strategy for IC protection , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[23] Sayak Ray,et al. Evaluating the security of logic encryption algorithms , 2015, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).
[24] Ramesh Karri,et al. A Primer on Hardware Security: Models, Methods, and Metrics , 2014, Proceedings of the IEEE.
[25] Ronald P. Cocchi,et al. Circuit camouflage integration for hardware IP protection , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[26] Brandon Wang,et al. Embedded reconfigurable logic for ASIC design obfuscation against supply chain attacks , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[27] Jeyavijayan Rajendran,et al. Is split manufacturing secure? , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[28] Jeyavijayan Rajendran,et al. Security analysis of logic obfuscation , 2012, DAC Design Automation Conference 2012.
[29] Mayler G. A. Martins,et al. Functional composition: A new paradigm for performing logic synthesis , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).
[30] Mayler G. A. Martins,et al. Boolean factoring with multi-objective goals , 2010, 2010 IEEE International Conference on Computer Design.
[31] Jarrod A. Roy,et al. EPIC: Ending Piracy of Integrated Circuits , 2008, 2008 Design, Automation and Test in Europe.
[32] Yoav Shoham,et al. Understanding Random SAT: Beyond the Clauses-to-Variables Ratio , 2004, CP.
[33] Jeyavijayan Rajendran,et al. Trustworthy Hardware Design: Combinational Logic Locking Techniques , 2020 .
[34] Kevin E. Murray,et al. VTR 8: High Performance CAD and Customizable FPGA Architecture Modelling , 2020 .
[35] E. Gopi. Infinite Impulse Response (IIR) Filter , 2018 .