CAD Techniques for Robust FPGA Design Under Variability

The imperfections in the semiconductor fabrication process and uncertainty in operating environment of VLSI circuits have emerged as critical challenges for the semiconductor industry. These are generally termed as process and environment variations, which lead to uncertainty in performance and unreliable operation of the circuits. These problems have been further aggravated in scaled nanometer technologies due to increased process variations and reduced operating voltage. Several techniques have been proposed recently for designing digital VLSI circuits under variability. However, most of them have targeted ASICs and custom designs. The flexibility of reconfiguration and unknown end application in FPGAs make design under variability different for FPGAs compared to ASICs and custom designs, and the techniques proposed for ASICs and custom designs cannot be directly applied to FPGAs. Very few techniques have been proposed for FPGA design under variability, with varying degrees of improvement in timing/power variability. However, these have not dealt with leveraging CAD, architecture and circuits co-design methodologies for FPGA design under variability, and further, have not accounted for the impact of the variability in Vdd arising due to IR drops which is important because the performance of a circuit becomes more sensitive to process parameters as Vdd is reduced. An important design consideration is to minimize the modifications in architecture and circuit to reduce the cost of changing the existing FPGA architecture and circuit. The work in this thesis develops CAD and architecture/circuit design techniques for FPGAs to improve the timing and power yield of FPGA designs under process variations. In the case of environment variations this work focuses on developing design techniques for reducing IR-drops. The focus of this work can be divided into three principal categories, which are, improving timing yield under process variations, improving power yield under process variations and improving the voltage profile in the FPGA power grid. The work on timing yield improvement implements a Statistical Static Timing Analysis (SSTA) framework to analyze the circuit delay under process variations, such that the statistical distribution of the critical delay can be computed. In this work, the structure of the interconnect is analyzed and it is shown that an optimum number of buffers can be inserted in the interconnect to reduce the variation in circuit delay. Several interconnect architectures are analyzed, under the constraints of the FPGA structure, to find the best architecture which leads to smallest (μ + 3σ) of the critical delay. The placement and routing tools are then enhanced such that the delay variability is accounted for when optimizing the critical delay of the circuit. Results indicate that up to 28% improvement in (μ+ 3σ) of the critical delay can be obtained from the proposed methodology. The work on power yield improvement for FPGAs selects a low power dual-Vdd FPGA design as the baseline FPGA architecture for developing power yield enhancement tech-

[1]  Peter Y. K. Cheung,et al.  Parametric yield in FPGAs due to within-die delay variations: a quantitative analysis , 2007, FPGA '07.

[2]  H. Wong,et al.  CMOS scaling into the nanometer regime , 1997, Proc. IEEE.

[3]  Mohab Anis,et al.  Power-Yield Enhancement for Field Programmable Gate Arrays Under Process Variations , 2010, J. Low Power Electron..

[4]  Vaughn Betz,et al.  Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.

[5]  M.J.M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[6]  Sachin S. Sapatnekar,et al.  Partition-based algorithm for power grid design using locality , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[8]  B. Cline,et al.  Analysis and modeling of CD variation for statistical static timing , 2006, ICCAD '06.

[9]  James Tschanz,et al.  Impact of Parameter Variations on Circuits and Microarchitecture , 2006, IEEE Micro.

[10]  Puneet Gupta,et al.  Selective gate-length biasing for cost-effective runtime leakage control , 2004, Proceedings. 41st Design Automation Conference, 2004..

[11]  Yan Lin,et al.  Stochastic physical synthesis for FPGAs with pre-routing interconnect uncertainty and process variation , 2007, FPGA '07.

[12]  James D. Meindl,et al.  Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.

[13]  Jason Helge Anderson,et al.  Active leakage power optimization for FPGAs , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  Malgorzata Marek-Sadowska,et al.  On-chip power-supply network optimization using multigrid-based technique , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Huang,et al.  AN EFFICIENT GENERAL COOLING SCHEDULE FOR SIMULATED ANNEALING , 1986 .

[16]  D. J. Hathaway,et al.  Uncertainty-aware circuit optimization , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[17]  Masanori Hashimoto,et al.  Statistical analysis of clock skew variation in H-tree structure , 2005, Sixth international symposium on quality electronic design (isqed'05).

[18]  Mohab Anis,et al.  IR-drop management CAD techniques in FPGAs for power grid reliability , 2009, 2009 10th International Symposium on Quality Electronic Design.

[19]  Kaustav Banerjee,et al.  Impact of on-chip inductance on power distribution network design for nanometer scale integrated circuits , 2005, Sixth international symposium on quality electronic design (isqed'05).

[20]  Farid N. Najm,et al.  Transition density: a new measure of activity in digital circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[21]  Mohab Anis,et al.  Dual-Threshold CAD Framework for Subthreshold Leakage Power Aware FPGAs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[22]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[23]  Fei Li,et al.  FPGA power reduction using configurable dual-Vdd , 2004, Proceedings. 41st Design Automation Conference, 2004..

[24]  John G. Proakis,et al.  Probability, random variables and stochastic processes , 1985, IEEE Trans. Acoust. Speech Signal Process..

[25]  Bo-Cheng Lai,et al.  Leakage power analysis of a 90nm FPGA , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

[26]  Sachin S. Sapatnekar,et al.  Statistical timing analysis under spatial correlations , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  D. Sylvester,et al.  A Statistical Framework for Post-Silicon Tuning through Body Bias Clustering , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[28]  Kaushik Roy,et al.  Speed binning aware design methodology to improve profit under parameter variations , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[29]  Steven Trimberger,et al.  Determination of Power Gating Granularity for FPGA Fabric , 2006, IEEE Custom Integrated Circuits Conference 2006.

[30]  Andrew B. Kahng,et al.  Optimal planning for mesh-based power distribution , 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

[31]  Steven J. E. Wilton,et al.  A Flexible Power Model for FPGAs , 2002, FPL.

[32]  C. Sechen,et al.  New algorithms for the placement and routing of macro cells , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[33]  Resve A. Saleh,et al.  Clock skew verification in the presence of IR-drop in the powerdistribution network , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[34]  K. Schittkowski,et al.  NONLINEAR PROGRAMMING , 2022 .

[35]  Sachin S. Sapatnekar,et al.  A Scalable Statistical Static Timing Analyzer Incorporating Correlated Non-Gaussian and Gaussian Parameter Variations , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[36]  Sachin S. Sapatnekar,et al.  Partition-driven standard cell thermal placement , 2003, ISPD '03.

[37]  Mohab Anis,et al.  An analytical state dependent leakage power model for FPGAs , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[38]  Rajendran Panda,et al.  Optimal placement of power-supply pads and pins , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[39]  David Blaauw,et al.  Statistical timing analysis for intra-die process variations with spatial correlations , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[40]  David Blaauw,et al.  Statistical optimization of leakage power considering process variations using dual-Vth and sizing , 2004, Proceedings. 41st Design Automation Conference, 2004..

[41]  Jason Cong,et al.  FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[42]  Carl Ebeling,et al.  Placement and routing tools for the Triptych FPGA , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[43]  Jason Cong,et al.  RASP: A General Logic Synthesis System for SRAM-Based FPGAs , 1996, Fourth International ACM Symposium on Field-Programmable Gate Arrays.

[44]  M. Orshansky,et al.  Joint Design-Time and Post-Silicon Minimization of Parametric Yield Loss using Adjustable Robust Optimization , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[45]  Narayanan Vijaykrishnan,et al.  Variation aware placement for FPGAs , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).

[46]  D. Sylvester,et al.  A New Statistical Max Operation for Propagating Skewness in Statistical Timing Analysis , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[47]  S. Schwartz,et al.  On the distribution function and moments of power sums with log-normal components , 1982, The Bell System Technical Journal.

[48]  David Blaauw,et al.  Circuit optimization using statistical static timing analysis , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[49]  Mahmut T. Kandemir,et al.  Reducing leakage energy in FPGAs using region-constrained placement , 2004, FPGA '04.

[50]  Yan Lin,et al.  Placement and Timing for FPGAs Considering Variations , 2006, 2006 International Conference on Field Programmable Logic and Applications.

[51]  Kaustav Banerjee,et al.  Analysis of IR-drop scaling with implications for deep submicron P/G network designs , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

[52]  Mahmut T. Kandemir,et al.  A Dual-VDD Low Power FPGA Architecture , 2004, FPL.

[53]  Rajendran Panda,et al.  Worst case clock skew under power supply variations , 2002, TAU '02.

[54]  Sani R. Nassif,et al.  Power variability and its impact on design , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

[55]  Yan Lin,et al.  FPGA device and architecture evaluation considering process variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[56]  Siddharth Garg,et al.  3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs , 2009, 2009 10th International Symposium on Quality Electronic Design.

[57]  Mohab Anis,et al.  FPGA Design for Timing Yield Under Process Variations , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[58]  Yu Cao,et al.  Predictive Technology Model for Nano-CMOS Design Exploration , 2006, 2006 1st International Conference on Nano-Networks and Workshops.

[59]  Rajendran Panda,et al.  Duet: an accurate leakage estimation and optimization tool for dual-Vt circuits , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[60]  Jason Cong,et al.  Low-power FPGA using pre-defined dual-Vdd/dual-Vt fabrics , 2004, FPGA '04.

[61]  Mohab Anis,et al.  IR-Drop Aware Clustering Technique for Robust Power Grid in FPGAs , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[62]  Kia Bazargan,et al.  Variation-aware routing for FPGAs , 2007, FPGA '07.

[63]  Vaughn Betz,et al.  Timing-driven placement for FPGAs , 2000, FPGA '00.

[64]  Farid N. Najm,et al.  A survey of power estimation techniques in VLSI circuits , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[65]  D. Sylvester,et al.  Statistical estimation of leakage current considering inter- and intra-die process variation , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..

[66]  Jan M. Rabaey,et al.  Digital Integrated Circuits , 2003 .

[67]  A. El Gamal,et al.  Synthesis method for field programmable gate arrays , 1993, Proc. IEEE.

[68]  David Blaauw,et al.  Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[69]  Jinjun Xiong,et al.  FPGA Performance Optimization Via Chipwise Placement Considering Process Variations , 2006, 2006 International Conference on Field Programmable Logic and Applications.

[70]  Sachin S. Sapatnekar,et al.  Analysis and optimization of structured power/ground networks , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[71]  Sachin S. Sapatnekar,et al.  Congestion-aware topology optimization of structured power/ground networks , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[72]  Sachin S. Sapatnekar,et al.  Analysis and optimization of power grids , 2003, IEEE Design & Test of Computers.

[73]  Mohab Anis,et al.  IR-Drop Management in FPGAs , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[74]  Sung-Mo Kang,et al.  Cell-level placement for improving substrate thermal distribution , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[75]  C. D. Gelatt,et al.  Optimization by Simulated Annealing , 1983, Science.

[76]  David Blaauw,et al.  Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[77]  David Blaauw,et al.  Computation and refinement of statistical bounds on circuit delay , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[78]  Vaughn Betz,et al.  Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density , 1999, FPGA '99.

[79]  Jason Helge Anderson,et al.  A novel low-power FPGA routing switch , 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).

[80]  Robert K. Brayton,et al.  Multilevel logic synthesis , 1990, Proc. IEEE.

[81]  Farid N. Najm,et al.  An adaptive FPGA architecture with process variation compensation and reduced leakage , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[82]  D. Acharyya,et al.  Rigorous Extraction of Process Variations for 65-nm CMOS Design , 2009, IEEE Transactions on Semiconductor Manufacturing.

[83]  Takashi Ishikawa,et al.  Automated low-power technique exploiting multiple supply voltages applied to a media processor , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[84]  Sachin S. Sapatnekar,et al.  Fast analysis and optimization of power/ground networks , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[85]  Mohab Anis,et al.  Interconnect design for FPGAs under process variations for leakage power yield , 2010, Proceedings of the 8th IEEE International NEWCAS Conference 2010.

[86]  Sheldon X.-D. Tan,et al.  Reliability-constrained area optimization of VLSI power/ground networks via sequence of linear programmings , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[87]  K. Ravindran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.