InTimeFix: A low-cost and scalable technique for in-situ timing error masking in logic circuits
暂无分享,去创建一个
[1] D.J. Frank,et al. Design and CAD Challenges in 45nm CMOS and beyond , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[2] Shuichi Sakai,et al. Delay-Compensation Flip-Flop with In-situ Error Monitoring for Low-Power and Timing-Error-Tolerant Circuit Design , 2008 .
[3] Hiroaki Suzuki,et al. Phase-adjustable Error Detection Flip-Flops with 2-stage hold driven optimization and slack based grouping scheme for Dynamic Voltage Scaling , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[4] Kartik Mohanram,et al. Masking timing errors on speed-paths in logic circuits , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[5] Michael Orshansky,et al. An efficient algorithm for statistical minimization of total power under timing yield constraints , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[6] Wei Dong,et al. Statistical Static Timing Analysis Considering Process Variation Model Uncertainty , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[7] Jeng-Liang Tsai,et al. A yield improvement methodology using pre- and post-silicon statistical clock scheduling , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[8] Josep Torrellas,et al. Blueshift: Designing processors for timing speculation from the ground up. , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[9] Qiang Xu,et al. Online clock skew tuning for timing speculation , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[10] Todd M. Austin,et al. Deployment of better than worst-case design: solutions and needs , 2005, 2005 International Conference on Computer Design.
[11] Trevor Mudge,et al. Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[12] K.A. Bowman,et al. Energy-Efficient and Metastability-Immune Resilient Circuits for Dynamic Variation Tolerance , 2009, IEEE Journal of Solid-State Circuits.
[13] Ming Zhang,et al. Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).
[14] Robert C. Aitken,et al. TIMBER: Time borrowing and error relaying for online timing error resilience , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[15] Olivier Coudert,et al. New algorithms for gate sizing: a comparative study , 1996, DAC '96.
[16] Kartik Mohanram,et al. Approximate logic circuits for low overhead, non-intrusive concurrent error detection , 2008, 2008 Design, Automation and Test in Europe.
[17] David M. Bull,et al. RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance , 2009, IEEE Journal of Solid-State Circuits.
[18] Shekhar Y. Borkar,et al. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.
[19] Rakesh Kumar,et al. On logic synthesis for timing speculation , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[20] Shih-Lien Lu. Speeding Up Processing with Approximation Circuits , 2004, Computer.
[21] Qiang Xu,et al. Post-placement voltage island generation for timing-speculative circuits , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[22] Keith A. Bowman,et al. Circuit techniques for dynamic variation tolerance , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[23] Kwang-Ting Cheng,et al. Classification and identification of nonrobust untestable path delay faults , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..