Lithography, metrology and nanomanufacturing.

Semiconductor chip manufacturing is by far the predominant nanomanufacturing technology in the world today. Top-down lithography techniques are used for fabrication of logic and memory chips since, in order to function, these chips must essentially be perfect. Assuring perfection requires expensive metrology. Top of the line logic sells for several hundred thousand dollars per square metre and, even though the required metrology is expensive, it is a small percentage of the overall manufacturing cost. The level of stability and control afforded by current lithography tools means that much of this metrology can be online and statistical. In contrast, many of the novel types of nanomanufacturing currently being developed will produce products worth only a few dollars per square metre. To be cost effective, the required metrology must cost proportionately less. Fortunately many of these nanofabrication techniques, such as block copolymer self-assembly, colloidal self-assembly, DNA origami, roll-2-roll nano-imprint, etc., will not require the same level of perfection to meet specification. Given the variability of these self-assembly processes, in order to maintain process control, these techniques will require some level of real time online metrology. Hence we are led to the conclusion that future nanomanufacturing may well necessitate "cheap" nanometre scale metrology which functions real time and on-line, e.g. at GHz rates, in the production stream. In this paper we review top-down and bottom-up nanofabrication techniques and compare and contrast the various metrology requirements.

[1]  Dieter Adam,et al.  Maskless electron beam lithography: prospects, progress, and challenges , 2002 .

[2]  E. Thomas,et al.  Shape control of multivalent 3D colloidal particles via interference lithography. , 2007, Nano letters.

[3]  C. Mirkin,et al.  Protein Nanoarrays Generated By Dip-Pen Nanolithography , 2002, Science.

[4]  Stephen R. Forrest,et al.  The Limits to Organic Photovoltaic Cell Efficiency , 2005 .

[5]  Lloyd R. Harriott,et al.  Space charge effects in projection charged particle lithography systems , 1995 .

[6]  B. Ocko,et al.  Grazing incident small angle x-ray scattering: A metrology to probe nanopatterned surfaces , 2009 .

[7]  Y. Wang,et al.  Flying plasmonic lens in the near field for high-speed nanolithography. , 2008, Nature nanotechnology.

[8]  Younan Xia,et al.  Three‐Dimensional Photonic Crystals with Non‐spherical Colloids as Building Blocks , 2001 .

[9]  Bryan J. Rice,et al.  Realizing value-added metrology , 2007, SPIE Advanced Lithography.

[10]  Gregg M. Gallatin Resist blur and line edge roughness (Invited Paper) , 2004, SPIE Advanced Lithography.

[11]  M. Hersam,et al.  Atomic-scale templates patterned by ultrahigh vacuum scanning tunneling microscopy on silicon. , 2009, Annual review of physical chemistry.

[12]  H. Wolf,et al.  Nanoparticle printing with single-particle resolution. , 2007, Nature nanotechnology.

[13]  Andrew A. Bettiol,et al.  ION BEAM LITHOGRAPHY AND NANOFABRICATION: A REVIEW , 2005 .

[14]  Martha I. Sanchez,et al.  Influence of resist components on image blur in a patterned positive-tone chemically amplified photoresist , 2002 .

[15]  Moon Kyu Kwak,et al.  Fabrication of conductive metal lines by plate-to-roll pattern transfer utilizing edge dewetting and flexographic printing. , 2010, Journal of colloid and interface science.

[16]  Cho Jui Tay,et al.  Forbidden pitch improvement using modified illumination in lithography , 2009 .

[17]  Elmar Platzgummer,et al.  Projection Charged Particle Nanolithography and Nanopatterning , 2010 .

[18]  Jerry Liu,et al.  Advanced self-aligned double patterning development for sub-30-nm DRAM manufacturing , 2009, Advanced Lithography.

[19]  William D. Hinsberg,et al.  Directed Self-assembly on Sparse Chemical Patterns for Lithographic Applications , 2009 .

[20]  G. Somorjai,et al.  Colloid Science of Metal Nanoparticle Catalysts in 2D and 3D Structures. Challenges of Nucleation, Growth, Composition, Particle Shape, Size Control and Their Influence on Activity and Selectivity , 2008 .

[21]  Fook-Luen Heng,et al.  Taming pattern and focus variation in VLSI design , 2004, SPIE Advanced Lithography.

[22]  Juan J. de Pablo,et al.  Remediation of Line Edge Roughness in Chemical Nanopatterns by the Directed Assembly of Overlying Block Copolymer Films , 2010 .

[23]  Eric M. Gullikson,et al.  Measuring the Structure of Epitaxially Assembled Block Copolymer Domains with Soft X-ray Diffraction , 2010 .

[24]  P. Kruit,et al.  High throughput electron lithography with the multiple aperture pixel by pixel enhancement of resolution concept , 1998 .

[25]  Electron-electron interaction induced beam displacement in a multiple electron beam system , 2005 .

[26]  D. Frank,et al.  Discrete random dopant distribution effects in nanometer-scale MOSFETs , 1998 .

[27]  Katsuyuki Sakuma,et al.  Copper Multilayer Interconnection Using Ultravaiolet Nanoimprint Lithography with a Double-Deck Mold and Electroplating , 2009 .

[28]  S. V. Sreenivasan,et al.  Distortion and overlay performance of UV step and repeat imprint lithography , 2005 .

[29]  C Grant Willson,et al.  The future of lithography: SEMATECH Litho Forum 2008. , 2008, ACS nano.

[30]  Hans C. Pfeiffer PREVAIL: IBM's e-beam technology for next generation lithography , 2000, Advanced Lithography.

[31]  Costas J. Spanos,et al.  Comparative study of line width roughness (LWR) in next-generation lithography (NGL) processes , 2010, Advanced Lithography.

[32]  Richard M. Silver,et al.  Nanometrology using a through-focus scanning optical microscopy method , 2011 .

[33]  H. Herzig Micro-Optics : Elements, Systems And Applications , 1997 .

[34]  J. Holoubek Some applications of light scattering in materials science , 2007 .

[35]  William D. Hinsberg,et al.  Integration of Directed Self-Assembly with 193 nm Lithography , 2010 .

[36]  D. Muller,et al.  Block Copolymer Self-Assembly–Directed Single-Crystal Homo- and Heteroepitaxial Nanostructures , 2010, Science.

[37]  Vivek M. Prabhu,et al.  Resolution limitations in chemically amplified photoresist systems , 2004, SPIE Advanced Lithography.

[38]  Thomas G. Mason,et al.  Colloidal Alphabet Soup: Monodisperse Dispersions of Shape-Designed LithoParticles , 2007 .

[39]  Brian A. Gregg,et al.  Comparing organic to inorganic photovoltaic cells: Theory, experiment, and simulation , 2003 .

[40]  John N. Randall,et al.  Atomic precision lithography on Si , 2009 .

[41]  H. Iwasaki,et al.  Future Options for HDD Storage , 2009, IEEE Transactions on Magnetics.

[42]  Noelle Wright,et al.  A paradigm shift in scatterometry-based metrology solution addressing the most stringent needs of today as well as future lithography , 2010, Advanced Lithography.

[43]  Marcus Müller,et al.  Directed self-assembly of block copolymers for nanolithography: fabrication of isolated features and essential integrated circuit geometries. , 2007, ACS nano.

[44]  M Paturzo,et al.  Dispensing nano-pico droplets and liquid patterning by pyroelectrodynamic shooting. , 2010, Nature nanotechnology.

[45]  John R. Tucker,et al.  Nanoscale patterning and oxidation of H‐passivated Si(100)‐2×1 surfaces with an ultrahigh vacuum scanning tunneling microscope , 1994 .

[46]  Jan G. Korvink,et al.  Printed electronics: the challenges involved in printing devices, interconnects, and contacts based on inorganic materials , 2010 .

[47]  Thomas Albrecht,et al.  Patterned Media: Nanofabrication Challenges of Future Disk Drives , 2008, Proceedings of the IEEE.

[48]  J. Cha,et al.  Recent advances in DNA-based directed assembly on surfaces. , 2010, Nanoscale.

[49]  S. V. Sreenivasan,et al.  Nanoscale Manufacturing Enabled by Imprint Lithography , 2008 .

[50]  Patrick P. Naulleau,et al.  Resolution, LER, and sensitivity limitations of photoresists , 2008, SPIE Advanced Lithography.

[51]  Timothy A. Brunner,et al.  Why optical lithography will live forever , 2003 .

[52]  H. Schift Nanoimprint lithography: An old story in modern times? A review , 2008 .

[53]  E. Kramer,et al.  Graphoepitaxy of Spherical Domain Block Copolymer Films , 2001 .

[54]  Takayoshi Abe,et al.  Sub-40-nm half-pitch double patterning with resist freezing process , 2008, SPIE Advanced Lithography.

[55]  David L. Windt,et al.  The SCattering with Angular Limitation in Projection Electron-Beam Lithography (SCALPEL) System , 1995 .

[56]  Ronald L. Gordon,et al.  Subresolution assist feature implementation for high-performance logic gate-level lithography , 2002, SPIE Advanced Lithography.

[57]  G. H. Jansen Trajectory displacement effect in particle projection lithography systems: Modifications to the extended two-particle theory and Monte Carlo simulation technique , 1998 .

[58]  J. Alexander Liddle,et al.  Lithographically directed self-assembly of nanostructures , 2004 .

[59]  Bruce D. Terris,et al.  Fabrication challenges for patterned recording media , 2009 .

[60]  P. Rothemund Folding DNA to create nanoscale shapes and patterns , 2006, Nature.

[61]  G. Jabbour,et al.  Inkjet Printing—Process and Its Applications , 2010, Advanced materials.

[62]  Joy Y. Cheng,et al.  Dense Self‐Assembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers , 2008 .

[63]  Mark A. McCord,et al.  Electron beam lithography for 0.13 μm manufacturing , 1997 .

[64]  C. Haynes,et al.  Nanosphere Lithography: A Versatile Nanofabrication Tool for Studies of Size-Dependent Nanoparticle Optics , 2001 .

[65]  Dhananjay Dendukuri,et al.  Continuous-flow lithography for high-throughput microparticle synthesis , 2006, Nature materials.

[66]  Noah Bareket,et al.  REBL: A novel approach to high speed maskless electron beam direct write lithography , 2009 .

[67]  George M. Whitesides,et al.  Extending Microcontact Printing as a Microlithographic Technique , 1997 .

[68]  L. Guo,et al.  Large-area roll-to-roll and roll-to-plate nanoimprint lithography: a step toward high-throughput application of continuous nanoimprinting. , 2009, ACS nano.

[69]  P. Zimmerman Extension options for 193nm immersion lithography , 2009 .

[70]  Stephanie E. A. Gratton,et al.  The effect of particle design on cellular internalization pathways , 2008, Proceedings of the National Academy of Sciences.

[71]  Max Shtein,et al.  Flexible conjugated polymer photovoltaic cells with controlled heterojunctions fabricated using nanoimprint lithography , 2007 .

[72]  Martha I. Sanchez,et al.  Extendibility of chemically amplified resists: another brick wall? , 2003, SPIE Advanced Lithography.

[73]  P. Nealey,et al.  Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates , 2003, Nature.

[74]  Robert Langer,et al.  Impact of nanotechnology on drug delivery. , 2009, ACS nano.

[75]  John A. Rogers,et al.  Polymer Imprint Lithography with Molecular-Scale Resolution , 2004 .

[76]  Gerard M. Schmid,et al.  Inspection of imprint lithography patterns for semiconductor and patterned media , 2010, Advanced Lithography.

[77]  P. Mistark,et al.  Block-copolymer-based plasmonic nanostructures. , 2009, ACS nano.

[78]  Shinichi Kojima,et al.  Full-field exposure performance of electron projection lithography tool , 2004 .

[79]  Xu,et al.  "Dip-Pen" nanolithography , 1999, Science.

[80]  C. Willson,et al.  Approaches to the Design of Radiation‐Sensitive Polymeric Imaging Systems with Improved Sensitivity and Resolution , 1986 .

[82]  Joseph M DeSimone,et al.  Scalable, shape-specific, top-down fabrication methods for the synthesis of engineered colloidal particles. , 2010, Langmuir : the ACS journal of surfaces and colloids.

[83]  P. M. Ferreira,et al.  High-speed and drop-on-demand printing with a pulsed electrohydrodynamic jet , 2010 .

[84]  Ted Prescop,et al.  E-beam direct write (EBDW) as complementary lithography , 2010, Photomask Technology.

[85]  J. Wiley,et al.  Extension of optical lithography by mask-litho integration with computational lithography , 2010, Photomask Japan.

[86]  E. W. Edwards,et al.  Precise Control over Molecular Dimensions of Block‐Copolymer Domains Using the Interfacial Energy of Chemically Nanopatterned Substrates , 2004 .

[87]  Li Shi,et al.  Nanoimprint lithography based fabrication of shape-specific, enzymatically-triggered smart nanoparticles. , 2008, Journal of controlled release : official journal of the Controlled Release Society.

[88]  Yayi Wei,et al.  Evaluation of EUV resist materials for use at the 32 nm half-pitch node , 2008, SPIE Advanced Lithography.

[89]  L. Jay Guo,et al.  Bilayer metal wire-grid polarizer fabricated by roll-to-roll nanoimprint lithography on flexible plastic substrate , 2007 .

[90]  C. Grant Willson,et al.  Implementation of an imprint damascene process for interconnect fabrication , 2006 .

[91]  A. Keller,et al.  Preparation of monodisperse ellipsoidal polystyrene particles , 1993 .

[92]  Y Charles Cao,et al.  Nanomaterials for biomedical applications. , 2008, Nanomedicine.

[93]  Vincent Wiaux,et al.  Double patterning design split implementation and validation for the 32nm node , 2007, SPIE Advanced Lithography.