Influence of data volume and EPC on process window in massively parallel e-beam direct write

Multiple e-beam direct write lithography (MEBDW), using >10,000 e-beams writing in parallel, proposed by MAPPER, KLA-Tencor, and IMS is a potential solution for 20-nm half-pitch and beyond. The raster scan in MEBDW makes bitmap its data format. Data handling becomes indispensable since bitmap needs a huge data volume due to the fine pixel size to keep the CD accuracy after e-beam proximity correction (EPC). In fact, in 10,000-beam MEBDW, for a 10 WPH tool of 1-nm pixel size and 1-bit gray level, the aggregated data transmission rate would be up to 1963 Tera bits per second (bps), requiring 19,630 fibers transmitting 10 Gbps in each fiber. The data rate per beam would be <20 Gbps. Hence data reduction using bigger pixel size, fewer grey levels to achieve sub-nm EPC accuracy, and data truncation have been extensively studied. In this paper, process window assessment through Exposure-Defocus (E-D) Forest to quantitatively characterize the data truncation before and after EPC is reported. REBL electron optics, electron scattering in resist, and resist acid diffusion are considered, to construct the E-D Forest and to analyze the imaging performance of the most representative layers and patterns, such as critical line/space and hole layers with minimum pitch, cutting layers, and implant layers, for the 10-nm, and 7-nm nodes.

[1]  Shy-Jay Lin,et al.  Characteristics performance of production-worthy multiple e-beam maskless lithography , 2010, Advanced Lithography.

[2]  Burn Jeng Lin Future of multiple-e-beam direct-write systems , 2012 .

[3]  Baoqin Chen,et al.  Proximity effect in electron beam lithography , 2004, Proceedings. 7th International Conference on Solid-State and Integrated Circuits Technology, 2004..

[4]  Mihir Parikh Self‐consistent proximity effect correction technique for resist exposure (SPECTRE) , 1978 .

[5]  G. de Boer,et al.  MAPPER: high-throughput maskless lithography , 2010, Advanced Lithography.

[6]  S. Borisov,et al.  Software tool for advanced Monte Carlo simulation of electron scattering in EBL and SEM: CHARIOT , 2003, SPIE Advanced Lithography.

[7]  Charles T. Rettner,et al.  REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography , 2012, Advanced Lithography.

[8]  Burn J. Lin,et al.  Influence of massively parallel e-beam direct-write pixel size on electron proximity correction , 2011, Advanced Lithography.

[9]  Naoya Hayashi,et al.  Short-range electron backscattering from EUV masks , 2010, Photomask Japan.

[10]  Elmar Platzgummer,et al.  50 keV electron multibeam mask writer for the 11nm HP node: first results of the proof of concept tool (eMET POC) , 2012, Advanced Lithography.

[11]  G. Owen Methods for proximity effect correction in electron lithography , 1990 .

[12]  Takashi Kamikubo,et al.  Mask process correction (MPC) modeling and its application to EUV mask for electron beam mask writer EBM-7000 , 2010, Photomask Technology.