Decision tree based hardware power monitoring for run time dynamic power management in FPGA

Fine-grained runtime power management techniques could be promising solutions for power reduction. Therefore, it is essential to establish accurate power monitoring schemes to obtain dynamic power variation in a short period (i.e., tens or hundreds of clock cycles). In this paper, we leverage a decision-tree-based power modeling approach to establish finegrained hardware power monitoring on FPGA platforms. A generic and complete design flow is developed to implement the decision tree power model which is capable of precisely estimating dynamic power in a fine-grained manner. A flexible architecture of the hardware power monitoring is proposed, which can be instrumented in any RTL design for runtime power estimation, dispensing with the need for extra power measurement devices. Experimental results of applying the proposed model to benchmarks with different resource types reveal an average error up to 4% for dynamic power estimation. Moreover, the overheads of area, power and performance incurred by the power monitoring circuitry are extremely low. Finally, we apply our power monitoring technique to the power management using phase shedding with an on-chip multi-phase regulator as a proof of concept and the results demonstrate 14% efficiency enhancement for the power supply of the FPGA internal logic.

[1]  Mohamad Najem,et al.  Method for dynamic power monitoring on FPGAs , 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

[2]  Jason Cong,et al.  Architecture evaluation for power-efficient FPGAs , 2003, FPGA '03.

[3]  R. Chaware,et al.  Assembly and reliability challenges in 3D integration of 28nm FPGA die on a large high density 65nm passive interposer , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[4]  R. Wrona,et al.  Resistance Measurements of BGA Contacts During Reliability Tests , 2006, 2006 29th International Spring Seminar on Electronics Technology.

[5]  Zheng Xu,et al.  Modeling of power delivery into 3D chips on silicon interposer , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[6]  Gu-Yeon Wei,et al.  MachSuite: Benchmarks for accelerator design and customized architectures , 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

[7]  Piotr Duda,et al.  The CART decision tree for mining data streams , 2014, Inf. Sci..

[8]  Zhe Wang,et al.  An Analytical Study of Power Delivery Systems for Many-Core Processors Using On-Chip and Off-Chip Voltage Regulators , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Nam Sung Kim,et al.  VR-Scale: Runtime dynamic phase scaling of processor voltage regulators for improving power efficiency , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[10]  Chalbi Najoua,et al.  Accurate dynamic power model for FPGA based implementations , 2012 .

[11]  Borivoje Nikolic,et al.  Opportunities for Fine-Grained Adaptive Voltage Scaling to Improve System-Level Energy Efficiency , 2015 .

[12]  Gaël Varoquaux,et al.  Scikit-learn: Machine Learning in Python , 2011, J. Mach. Learn. Res..

[13]  Marco Platzner,et al.  Performance-centric scheduling with task migration for a heterogeneous compute node in the data center , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[14]  José Antonio Lozano,et al.  Sensitivity Analysis of k-Fold Cross Validation in Prediction Error Estimation , 2010, IEEE Transactions on Pattern Analysis and Machine Intelligence.

[15]  Andreas Gerstlauer,et al.  Learning-based power modeling of system-level black-box IPs , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[16]  Luca Benini,et al.  Regression-based RTL power modeling , 2000, TODE.

[17]  Sandeep K. Shukla,et al.  High Level Power Estimation Models for FPGAs , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[18]  Hiroyuki Tomiyama,et al.  CHStone: A benchmark program suite for practical C-based high-level synthesis , 2008, 2008 IEEE International Symposium on Circuits and Systems.

[19]  Marios S. Pattichis,et al.  Pipelined Decision Tree Classification Accelerator Implementation in FPGA (DT-CAIF) , 2015, IEEE Transactions on Computers.

[20]  Luca P. Carloni,et al.  An FPGA-based infrastructure for fine-grained DVFS analysis in high-performance embedded systems , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[21]  Peter Y. K. Cheung,et al.  KAPow: A System Identification Approach to Online Per-Module Power Estimation in FPGA Designs , 2016, 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).