An In Situ Approach to Real-Time Spatial Control of Steady-State Wafer Temperature During Thermal Processing in Microlithography

We proposed an in situ method to control the steady-state wafer temperature uniformity during thermal processing in microlithography. Thermal processing of wafer in the microlithography sequence is conducted by the placement of the wafer on the bake-plate for a given period of time. A physical model of the thermal system is first developed by considering energy balances on the system. Next, by monitoring the bake-plate temperature and fitting the data into the model, the temperature of the wafer can be estimated and controlled in real-time. This is useful as production wafers usually do not have temperature sensors embedded on it, these bake-plates are usually calibrated based on test wafers with embedded sensors. However, as processes are subjected to process drifts, disturbances, and wafer warpages, real-time correction of the bake-plate temperatures to achieve uniform wafer temperature at steady state is not possible in current baking systems. Any correction is done based on run-to-run control techniques which depends on the sampling frequency of the wafers. Our approach is real-time and can correct for any variations in the desired steady-state wafer temperature. Experimental results demonstrate the feasibility of the approach

[1]  Weng Khuen Ho,et al.  Estimation of wafer warpage profile during thermal processing in microlithography , 2005 .

[2]  J. Parker,et al.  Temperature metrology for CD control in DUV lithography , 1997 .

[3]  Harry J. Levinson,et al.  Lithography Process Control , 1999 .

[4]  D. Seeger,et al.  Chemically amplified resists for advanced lithography : Road to success or detour ? , 1997 .

[5]  A. Tay,et al.  In situ fault detection of wafer warpage in microlithography , 2004, IEEE Transactions on Semiconductor Manufacturing.

[6]  Koji Kaneyama,et al.  Optimizing CD uniformity by total PEB cycle temperature control on track equipment , 2002, SPIE Advanced Lithography.

[7]  Kuzman Ražnjević,et al.  Handbook of Thermodynamic Tables and Charts , 1976 .

[8]  Charles D. Schaper,et al.  Programmable thermal processing module for semiconductor substrates , 2004, IEEE Transactions on Control Systems Technology.

[9]  T. Kailath,et al.  Control systems for the nanolithography process , 1999, Proceedings of the 38th IEEE Conference on Decision and Control (Cat. No.99CH36304).

[10]  M. Quirk,et al.  Semiconductor manufacturing technology , 2000 .

[11]  Weng Khuen Ho,et al.  Resist film uniformity in the microlithography process , 2002 .

[12]  Costas J. Spanos,et al.  Characterizing post-exposure bake processing for transient- and steady-state conditions in the context of critical dimension control , 2002, SPIE Advanced Lithography.

[13]  A. Tay,et al.  Integrated bake/chill module with in situ temperature measurement for photoresist processing , 2004, IEEE Transactions on Semiconductor Manufacturing.