The Impact of Process Scaling on Scratchpad Memory Energy Savings

Scratchpad memories have been shown to reduce power consumption, but the different characteristics of nanometer scale processes, such as increased leakage power, motivate an examination of how the benefits of these memories change with process scaling. Process and application characteristics affect the amount of energy saved by a scratchpad memory. Increases in leakage as a percentage of total power particularly impact applications that rarely access memory. This study examines how the benefits of scratchpad memories have changed in newer processes, based on the measured performance of the WIMS (Wireless Integrated MicroSystems) microcontroller implemented in 180- and 65-nm processes and upon simulations of this microcontroller implemented in a 32-nm process. The results demonstrate that scratchpad memories will continue to improve the power dissipation of many applications, given the leakage anticipated in the foreseeable future.

[1]  Yong-Bin Kim,et al.  A low leakage 9t sram cell for ultra-low power operation , 2008, GLSVLSI '08.

[2]  Spencer Kellis,et al.  Scratchpad Memories in the Context of Process Scaling , 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).

[3]  Mahmut T. Kandemir,et al.  Leakage-aware SPM management , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).

[4]  R.H. Dennard,et al.  Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.

[5]  Ibrahim N. Hajj,et al.  Energy and performance improvements in microprocessor design using a loop cache , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

[6]  G. Curello,et al.  A 22nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications , 2012, 2012 International Electron Devices Meeting.

[7]  Shekhar Y. Borkar,et al.  Design challenges of technology scaling , 1999, IEEE Micro.

[8]  Hiroaki Takada,et al.  Energy efficiency of scratch-pad memory in deep submicron domains: an empirical study , 2008, IEICE Electron. Express.

[9]  Uri C. Weiser,et al.  Interconnect-power dissipation in a microprocessor , 2004, SLIP '04.

[10]  Mahmut T. Kandemir,et al.  Compiler-guided leakage optimization for banked scratch-pad memories , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Scott A. Mahlke,et al.  Compiler managed dynamic instruction placement in a low-power code cache , 2005, International Symposium on Code Generation and Optimization.

[12]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[13]  S. Dasgupta,et al.  A comparative study of 6T, 8T and 9T decanano SRAM cell , 2009, 2009 IEEE Symposium on Industrial Electronics & Applications.

[14]  William H. Mangione-Smith,et al.  The filter cache: an energy efficient memory structure , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[15]  Miodrag Potkonjak,et al.  MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[16]  S.-J. Choi,et al.  A 32nm SoC platform technology with 2nd generation high-k/metal gate transistors optimized for ultra low power, high performance, and high density product applications , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[17]  K. Fujita,et al.  Advanced channel engineering achieving aggressive reduction of VT variation for ultra-low-power applications , 2011, 2011 International Electron Devices Meeting.

[18]  Peter Marwedel,et al.  Cache-aware scratchpad allocation algorithm , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[19]  John Arends,et al.  Instruction fetch energy reduction using loop caches for embedded applications with small tight loops , 1999, ISLPED '99.

[20]  Michael S. McCorquodale,et al.  A 16-bit low-power microcontroller with monolithic MEMS-LC clocking , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[21]  Frank Vahid,et al.  Exploiting Fixed Programs in Embedded Systems: A Loop Cache Example , 2002, IEEE Computer Architecture Letters.

[22]  S. Natarajan,et al.  A 65nm ultra low power logic platform technology using uni-axial strained silicon transistors , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[23]  Norman P. Jouppi,et al.  CACTI: an enhanced cache access and cycle time model , 1996, IEEE J. Solid State Circuits.

[24]  Robert H. Dennard,et al.  Design of ion-implanted MOSFET's with very small physical dimensions , 2007 .

[25]  A. Chandrakasan,et al.  A 256kb Sub-threshold SRAM in 65nm CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[26]  R. Wong,et al.  Scaling of 32nm low power SRAM with high-K metal gate , 2008, 2008 IEEE International Electron Devices Meeting.

[27]  Heonshik Shin,et al.  Scratchpad memory management for portable systems with a memory management unit , 2006, EMSOFT '06.

[28]  Peter Marwedel,et al.  Assigning program and data objects to scratchpad for energy reduction , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[29]  Spencer Kellis,et al.  Energy profile of a microcontroller for neural prosthetic application , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[30]  Wei Zhang,et al.  Compiler-based approach to reducing leakage energy of instruction scratch-pad memories , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

[31]  P. Bai,et al.  A 45nm low power system-on-chip technology with dual gate (logic and I/O) high-k/metal gate strained silicon transistors , 2008, 2008 IEEE International Electron Devices Meeting.