Error resilient MIMO detector for memory-dominated wireless communication systems

In current broadband MIMO-OFDM systems such as 3GPP LTE, embedded buffering memories occupy a large portion of chip area and a significant amount of power consumption. Due to the dense structure of memories, they are especially vulnerable to scaling effects such as process variation. These effects (hardware errors) become more pronounced when aggressive voltage scaling is used due to the reduced voltage overhead. To address this issue, we present an error resilient MIMO detector. First, we derive a combined distribution of the received data in a MIMO-OFDM receiver that includes both the noise incurred by the wireless channel and errors introduced at the receiver buffering memory due to aggressive voltage scaling. Using the derived distribution, a modified MIMO detection algorithm based on the tree-searching structure is presented. A case study is presented showing that the proposed approach can achieve near-optimal performance in the presence of both channel noise and memory error, while 40% to 50% of memory power savings are realized.

[1]  Christian Bernard,et al.  A 477mW NoC-based digital baseband for MIMO 4G SDR , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[2]  David Gesbert,et al.  From theory to practice: an overview of MIMO space-time coded wireless systems , 2003, IEEE J. Sel. Areas Commun..

[3]  Kaushik Roy,et al.  Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Tong Zhang,et al.  A 1.1-Gb/s 115-pJ/bit Configurable MIMO Detector Using 0.13- $\mu\hbox{m}$ CMOS Technology , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

[5]  Ahmed M. Eltawil,et al.  A Radius Adaptive K-Best Decoder With Early Termination: Algorithm and VLSI Architecture , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

[6]  Ming-Chang Tsai,et al.  BRAINS: a BIST compiler for embedded memories , 2000, Proceedings IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

[7]  Jan M. Rabaey,et al.  Digital Integrated Circuits: A Design Perspective , 1995 .

[8]  Naresh R. Shanbhag,et al.  Energy-efficiency bounds for deep submicron VLSI systems in the presence of noise , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[9]  Kiarash Amiri,et al.  A Unified Hardware and Channel Noise Model for Communication Systems , 2010, 2010 IEEE Global Telecommunications Conference GLOBECOM 2010.

[10]  Rouwaida Kanj,et al.  Cross Layer Error Exploitation for Aggressive Voltage Scaling , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[11]  Ahmed M. Eltawil,et al.  Improving effective yield through error tolerant system design , 2005, 2005 12th IEEE International Conference on Electronics, Circuits and Systems.

[12]  Markus Rupp,et al.  Simulating the Long Term Evolution physical layer , 2009, 2009 17th European Signal Processing Conference.

[13]  Kiarash Amiri,et al.  A combined channel and hardware noise resilient Viterbi decoder , 2010, 2010 Conference Record of the Forty Fourth Asilomar Conference on Signals, Systems and Computers.