Multi-objective Architectural Floorplanning for 3D IC
暂无分享,去创建一个
[1] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[2] Y. Nemoto,et al. High-performance vertical interconnection for high-density 3D chip stacking package , 2004, 2004 Proceedings. 54th Electronic Components and Technology Conference (IEEE Cat. No.04CH37546).
[3] Narayanan Vijaykrishnan,et al. Thermal-aware floorplanning using genetic algorithms , 2005, Sixth international symposium on quality electronic design (isqed'05).
[4] Yan Zhang,et al. Thermal-driven multilevel routing for 3D ICs , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[5] Frank M. Johannes,et al. Temperature-aware global placement , 2004 .
[6] Sung Kyu Lim,et al. Wire congestion and thermal aware 3D global placement , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[7] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[8] Ying Chen,et al. Microarchitecture-aware floorplanning using a statistical design of experiments approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[9] Erich Barke,et al. An upper bound for 3D slicing floorplans , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
[10] Sung Kyu Lim,et al. Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[11] H. Murata,et al. Rectangle-packing-based module placement , 1995, ICCAD 1995.
[12] Guowu Yang,et al. Congestion estimation for 3-D circuit architectures , 2004, IEEE Transactions on Circuits and Systems II: Express Briefs.
[13] Jason Cong,et al. A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.
[14] Sachin S. Sapatnekar,et al. Partition-driven standard cell thermal placement , 2003, ISPD '03.
[15] Jason Cong,et al. Microarchitecture evaluation with physical planning , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[16] Anantha Chandrakasan,et al. Design tools for 3-D integrated circuits , 2003, ASP-DAC '03.
[17] Yangdong Deng,et al. Physical design of the "2.5D" stacked system , 2003, Proceedings 21st International Conference on Computer Design.
[18] Gurindar S. Sohi,et al. Instruction issue logic for high-performance, interruptable pipelined processors , 1987, ISCA '98.
[19] Margaret Martonosi,et al. Cache decay: exploiting generational behavior to reduce cache leakage power , 2001, ISCA 2001.
[20] Narayanan Vijaykrishnan,et al. A clock power model to evaluate impact of architectural and technology optimizations , 2002, IEEE Trans. Very Large Scale Integr. Syst..
[21] Narayanan Vijaykrishnan,et al. Evaluating run-time techniques for leakage power reduction , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
[22] Kaushik Roy,et al. Exploring SOI device structures and interconnect architectures for 3-dimensional integration , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[23] Kaushik Roy,et al. Exploring SOI device structures and interconnect architecures for 3-dimensional integration , 2001, DAC '01.
[24] Eby G. Friedman,et al. Managing static leakage energy in microprocessor functional units , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[25] Margaret Martonosi,et al. Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[26] Hsien-Hsin S. Lee,et al. Profile-guided microarchitectural floor planning for deep submicron processor design , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[27] Fei Li,et al. Microarchitecture level power and thermal simulation considering temperature dependent leakage model , 2003, ISLPED '03.
[28] Mario R. Casu,et al. Floorplanning for throughput , 2004, ISPD '04.
[29] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[30] Sung-Mo Kang,et al. Cell-level placement for improving substrate thermal distribution , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[31] Erich Barke,et al. 3-D placement considering vertical interconnects , 2003, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings..
[32] Martin D. F. Wong,et al. A matrix synthesis approach to thermal placement , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[33] Cheng-Kok Koh,et al. 3D module placement for congestion and power noise reduction , 2005, GLSVLSI '05.
[34] Narayanan Vijaykrishnan,et al. ChipPower: an architecture-level leakage simulator , 2004, IEEE International SOC Conference, 2004. Proceedings..
[35] Vikas Agarwal,et al. Clock rate versus IPC: the end of the road for conventional microarchitectures , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[36] James D. Meindl,et al. A generic system simulator (GENESYS) for ASIC technology and architecture beyond 2001 , 1996, Proceedings Ninth Annual IEEE International ASIC Conference and Exhibit.
[37] Eby G. Friedman,et al. Interconnect delay minimization through interlayer via placement in 3-D ICs , 2005, ACM Great Lakes Symposium on VLSI.
[38] Sachin Sapatnekar,et al. Efficient Thermal Placement of Standard Cells in 3D ICs using a Force Directed Approach , 2003, ICCAD 2003.
[39] Sachin S. Sapatnekar,et al. Thermal via placement in 3D ICs , 2005, ISPD '05.
[40] Paul R. Norton,et al. Vertically integrated sensor arrays: VISA , 2004, SPIE Defense + Commercial Sensing.
[41] Thitipong Tanprasert,et al. An analytical 3-D placement that reserves routing space , 2000, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).
[42] Trevor Mudge,et al. Drowsy instruction caches. Leakage power reduction using dynamic voltage scaling and cache sub-bank prediction , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[43] Martin D. F. Wong,et al. Floorplanning for 3-D VLSI design , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[44] Michael C. Huang,et al. A framework for dynamic energy efficiency and temperature management , 2000, MICRO 33.
[45] Lei He,et al. Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects , 2004, Proceedings. 41st Design Automation Conference, 2004..