Support Efficient and Fault-Tolerant Multicast in Bufferless Network-on-Chip
暂无分享,去创建一个
[1] Alain Greiner,et al. A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[2] Tobias Bjerregaard,et al. A survey of research and practices of Network-on-chip , 2006, CSUR.
[3] Axel Jantsch,et al. Load distribution with the proximity congestion awareness in a network on chip , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[4] David Blaauw,et al. A highly resilient routing algorithm for fault-tolerant NoCs , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[5] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[6] Valentin Puente,et al. MRR: Enabling fully adaptive multicast routing for CMP interconnection networks , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[7] Natalie D. Enright Jerger,et al. Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support , 2008, 2008 International Symposium on Computer Architecture.
[8] Jie Wu,et al. Fault-tolerant tree-based multicasting in mesh multicomputers , 2001, Journal of Computer Science and Technology.
[9] Martin Radetzki,et al. Fault Tolerant Network on Chip Switching With Graceful Performance Degradation , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[10] Hyungjun Kim,et al. Recursive partitioning multicast: A bandwidth-efficient routing for Networks-on-Chip , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[11] Axel Jantsch,et al. The Nostrum backbone-a communication protocol stack for Networks on Chip , 2004, 17th International Conference on VLSI Design. Proceedings..
[12] Onur Mutlu,et al. A case for bufferless routing in on-chip networks , 2009, ISCA '09.
[13] Jia-Guang Sun,et al. Unicast-based fault-tolerant multicasting in wormhole-routed hypercubes , 2008, J. Syst. Archit..
[14] Axel Jantsch,et al. Connection-oriented multicasting in wormhole-switched networks on chip , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).
[15] Axel Jantsch,et al. Evaluation of on-chip networks using deflection routing , 2006, GLSVLSI '06.
[16] Natalie D. Enright Jerger,et al. SCARAB: A single cycle adaptive routing and bufferless network , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[17] Axel Jantsch,et al. A reconfigurable fault-tolerant deflection routing algorithm based on reinforcement learning for network-on-chip , 2010, NoCArc '10.
[18] Hong Xu,et al. Unicast-Based Multicast Communication in Wormhole-Routed Networks , 1994, IEEE Trans. Parallel Distributed Syst..
[19] Cristian Constantinescu,et al. Trends and Challenges in VLSI Circuit Reliability , 2003, IEEE Micro.
[20] José Duato,et al. Efficient unicast and multicast support for CMPs , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[21] Axel Jantsch,et al. Power-efficient tree-based multicast support for Networks-on-Chip , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).