Selectively breaking data dependences to improve the utilization of idle cycles in algorithm level re-computing data paths

Although algorithm level re-computing techniques can trade-off the fault detection capability vs. time overhead of a Concurrent Error Detection (CED) scheme, they result in 100% time overhead when the strongest CED capability is achieved. Using the idle cycles in the data path to do the re-computation can reduce this time overhead. However, dependences between operations prevent the re-computation from fully utilizing the idle cycles. Deliberately breaking some of these data dependences can further reduce the time overhead associated with algorithm level re-computing. According to the experimental results the proposed technique, it brings time overhead down to 0-60% while the associated hardware overhead is from 12% to 50% depending on the design size.

[1]  Vincenzo Piuri,et al.  Semi-concurrent error detection in data paths , 1997, 1997 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

[2]  Ramesh Karri,et al.  Time-constrained scheduling during high-level synthesis of fault-secure VLSI digital signal processors , 1996, IEEE Trans. Reliab..

[3]  M. Baze,et al.  Comparison of error rates in combinational and sequential logic , 1997 .

[4]  Vincenzo Piuri,et al.  High-level synthesis of data paths with concurrent error detection , 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

[5]  A. H. Johnston Radiation effects in advanced microelectronics technologies , 1997 .

[6]  A. Johnston,et al.  Radiation effects in advanced microelectronics technologies , 1997, RADECS 97. Fourth European Conference on Radiation and its Effects on Components and Systems (Cat. No.97TH8294).

[7]  A. Orailoglu Graceful degradation in synthesis of VLSI ICs , 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

[8]  E. Normand Single-event effects in avionics , 1996 .

[9]  Ramesh Karri,et al.  Algorithm level re-computing-a register transfer level concurrent error detection technique , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[10]  Miodrag Potkonjak,et al.  High level synthesis techniques for efficient built-in-self-repair , 1993, Proceedings of 1993 IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems.

[11]  Srivaths Ravi,et al.  Transient power management through high level synthesis , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[12]  E. Normand Single event upset at ground level , 1996 .

[13]  Niraj K. Jha,et al.  Behavioral synthesis of fault secure controller/datapaths using aliasing probability analysis , 1996, Proceedings of Annual Symposium on Fault Tolerant Computing.

[14]  Alex Orailoglu,et al.  Microarchitectural synthesis of ICs with embedded concurrent fault isolation , 1997, Proceedings of IEEE 27th International Symposium on Fault Tolerant Computing.

[15]  S. S. Ravi,et al.  Efficient algorithms for analyzing and synthesizing fault-tolerant datapaths , 1995, Proceedings of International Workshop on Defect and Fault Tolerance in VLSI.

[16]  A. Orailoglu,et al.  Scheduling with rollback constraints in high-level synthesis of self-recovering ASICs , 1992, [1992] Digest of Papers. FTCS-22: The Twenty-Second International Symposium on Fault-Tolerant Computing.

[17]  Fadi J. Kurdahi,et al.  Optimal algorithms for recovery point insertion in recoverable microarchitectures , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[18]  Ramesh Karri,et al.  Introspection: A register transfer level technique for cocurrent error detection and diagnosis in data dominated designs , 2001, TODE.

[19]  Vincenzo Piuri,et al.  Optimising high-level synthesis for self-checking arithmetic circuits , 1996, Proceedings. 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

[20]  Alex Orailoglu,et al.  Transient and intermittent fault recovery without rollback , 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

[21]  Alex Orailoglu,et al.  High-level synthesis of gracefully degradable ASICs , 1996, Proceedings ED&TC European Design and Test Conference.

[22]  Israel Koren,et al.  Phantom redundancy: a high-level synthesis approach for manufacturability , 1995, ICCAD.