Through-focus scanning optical microscopy applications

We present a partial application space of the metrology method referred to as through-focus scanning optical microscopy (TSOM), with most number of favorable attributes as a metrology and process control tool. TSOM is a NIST-developed, high-throughput and low-cost optical metrology tool for dimensional characterization with sub-nanometer measurement resolution of nano-scale to microscale targets using conventional optical microscopes, with many unique benefits and advantages. In TSOM the complete set of out-of-focus images are acquired using a conventional optical microscope and used for dimensional analysis. One of the unique characteristics of the TSOM method is its ability to reduce or eliminate optical cross correlations, often challenging for optical based metrology tools. TSOM usually has the ability to separate different dimensional differences (i.e., the ability to distinguish, for example, linewidth difference from line height difference) and hence it is expected to reduce measurement uncertainty. TSOM is applicable to a wide variety of target materials ranging from transparent to opaque, and shapes ranging from simple nanoparticles to complex semiconductor memory structures, including buried structures under transparent films. TSOM has been successfully applied to targets ranging from one nm to over 100 μm (over five orders or magnitude size range). Demonstrated applications of TSOM include critical dimension (linewidth), overlay, patterned defect detection and analysis, FinFETs, nanoparticles, photo-mask linewidth, thin-film (less than 0.5 nm to 10 nm) thickness, throughsilicon vias (TSVs), high-aspect-ratio (HAR) targets and others with several potential three-dimensional shape process monitoring applications such as MEMS/NEMS devices, micro/nanofluidic channels, flexible electronics, self-assembled nanostructures, and waveguides. Numerous industries could benefit from the TSOM method —such as the semiconductor industry, MEMS, NEMS, biotechnology, nanomanufacturing, nanometrology, data storage, and photonics.

[1]  Benjamin Bunday,et al.  Use of TSOM for sub-11nm node pattern defect detection and HAR features , 2013, Advanced Lithography.

[2]  Ndubuisi G. Orji,et al.  Virtual Metrology White Paper - INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS(IRDS) , 2018 .

[3]  Erik Novak,et al.  TSOM method for semiconductor metrology , 2011, Advanced Lithography.

[4]  Richard M. Silver,et al.  Optical illumination and critical dimension analysis using the through-focus focus metric method , 2006, SPIE Optics + Photonics.

[5]  András E. Vladár,et al.  A method to determine the number of nanoparticles in a cluster using conventional optical microscopes , 2015 .

[6]  Hyeonggon Kang,et al.  Parameter optimization for through-focus scanning optical microscopy. , 2016, Optics express.

[7]  S Y Oh,et al.  Method for optical inspection of nanoscale objects based upon analysis of their defocused images and features of its practical implementation. , 2013, Optics express.

[8]  Aaron Cordes,et al.  Gaps analysis for CD metrology beyond the 22nm node , 2013, Advanced Lithography.

[9]  E A Patterson,et al.  Three‐dimensional automated nanoparticle tracking using Mie scattering in an optical microscope , 2011, Journal of microscopy.

[10]  Benjamin Bunday,et al.  Critical dimension metrology by through-focus scanning optical microscopy beyond the 22 nm node , 2013 .

[11]  Ravi Kiran Attota,et al.  Feasibility study on 3-D shape analysis of high-aspect-ratio features using through-focus scanning optical microscopy. , 2016, Optics express.

[12]  Ravikiran Attota Noise analysis for through-focus scanning optical microscopy. , 2016, Optics letters.

[13]  Ravikiran Attota,et al.  Through-focus scanning-optical-microscope imaging method for nanoscale dimensional analysis. , 2008, Optics letters.

[14]  Benjamin Bunday,et al.  Patterned Defect & CD Metrology by TSOM Beyond the 22 nm Node | NIST , 2012 .

[15]  E. Fort,et al.  Three-dimensional nanometre localization of nanoparticles to enhance super-resolution microscopy , 2015, Nature Communications.

[16]  Haesung Park,et al.  Optical microscope illumination analysis using through-focus scanning optical microscopy. , 2017, Optics letters.

[17]  Maxim Ryabko,et al.  Improved critical dimension inspection for the semiconductor industry , 2014 .

[18]  Marina A. Dobrovolskaia,et al.  Common pitfalls in nanotechnology: lessons learned from NCI's Nanotechnology Characterization Laboratory. , 2013, Integrative biology : quantitative biosciences from nano to macro.

[19]  Ravikiran Attota,et al.  Optical microscope angular illumination analysis. , 2012, Optics express.

[20]  Vibhu Jindal,et al.  Inspecting mask defects with through-focus scanning optical microscopy , 2013 .

[21]  A. Karim,et al.  Multimodal optical studies of single and clustered colloidal quantum dots for the long-term optical property evaluation of quantum dot-based molecular imaging phantoms , 2012, Biomedical optics express.

[22]  Sergey Koptyaev,et al.  Motion-free all optical inspection system for nanoscale topology control. , 2014, Optics express.

[23]  Francesco S. Pavone,et al.  3D tracking of single nanoparticles and quantum dots in living cells by out-of-focus imaging with diffraction pattern recognition , 2015, Scientific Reports.

[24]  Jayanth Panyam,et al.  Biodegradable nanoparticles for drug and gene delivery to cells and tissue. , 2003, Advanced drug delivery reviews.

[25]  Ronald G. Dixson,et al.  Resolving three-dimensional shape of sub-50 nm wide lines with nanometer-scale sensitivity using conventional optical microscopes , 2014 .

[26]  András E. Vladár,et al.  Nanoparticle size and shape evaluation using the TSOM method , 2012, Advanced Lithography.

[27]  S. Usha,et al.  Through Focus Optical Imaging Technique To Analyze Variations In Nano-Scale Indents , 2013 .

[28]  Ravikiran Attota,et al.  Optimizing noise for defect analysis with through-focus scanning optical microscopy , 2016, SPIE Advanced Lithography.

[29]  Richard A. Allen,et al.  TSV reveal height and dimension metrology by the TSOM method , 2013, Advanced Lithography.

[30]  Sergey Koptyaev,et al.  Through-focus scanning optical microscopy (TSOM) considering optical aberrations: practical implementation. , 2015, Optics express.

[31]  Jun Ho Lee,et al.  Tip/tilt-compensated through-focus scanning optical microscopy , 2016, SPIE/COS Photonics Asia.

[32]  Lei Chen,et al.  Through-focus scanning and scatterfield optical methods for advanced overlay target analysis , 2009, Advanced Lithography.

[33]  S. Tans,et al.  High-throughput 3D tracking of bacteria on a standard phase contrast microscope , 2015, Nature Communications.

[34]  Richard M. Silver,et al.  Nanometrology using a through-focus scanning optical microscopy method , 2011 .

[35]  Alexander Y Katsov,et al.  fast multicolor 3 d imaging using aberration-corrected multifocus microscopy , 2012 .

[36]  Ronald G. Dixson,et al.  Through-focus scanning optical microscopy , 2011, Defense + Commercial Sensing.

[37]  Ravi Kiran Attota Step beyond Kohler illumination analysis for far-field quantitative imaging: angular illumination asymmetry (ANILAS) maps. , 2016, Optics express.

[38]  Benjamin Bunday,et al.  Patterned defect and CD metrology by TSOM beyond the 22-nm node , 2012, Advanced Lithography.

[39]  C. H. Crouch,et al.  Collective fluorescence enhancement in nanoparticle clusters. , 2011, Nature communications.

[40]  William J. Godinez,et al.  Objective comparison of particle tracking methods , 2014, Nature Methods.

[41]  M. Minsky Memoir on inventing the confocal scanning microscope , 1988 .

[42]  Richard Kasica,et al.  Nanoparticle size determination using optical microscopes , 2014 .