Characterization of single-ended 9T SRAM cell

We present a circuit-level technique of designing a lower write-power along with variability-resistant 9-MOFTET static random-access memory cell. Our proposed bitcell exhibits lower write-power consumption owing to reduction of activity factor and breakup of feedback path between the cross-coupled inverters during write operation. It exhibits higher read static noise margin (by 3.09 ×) compared with standard 6T SRAM cell @ minimum-area. LP9T shows higher static margin for write operation (by 41%) compared with 8T (S6T) @ iso-area (minimum-area). These improvements are achieved due to breakup of feedback path during the process of writing a bit on to the storage node. The paper investigates in detail the influence of variation in process related parameters, environmental parameters such as supply voltage and temperature on most of the important design parameters of the bitcell and compares the obtained simulation results with conventional 6-MOSFET (6T) and 8-MOSFET (8T) bitcells. It demonstrates its invariableness by showing 1.5 × tighter disperse in read time variability with a cost of 1.41 × higher read time compared with S6T @ minimum-area. It also exhibits 39% narrower disperse in read time variability in comparison to 8T @ iso-area. It draws lower power (2.06 ×) from supply voltage while flipping of stored data during write mode compared with standard 8T SRAM cell @ iso-area. It also compares key design metrics of LP9T with those of few other 9T SRAM cells found in the literature. This work also realizes the proposed design using CNFET. The CNFET-based design outperforms its CMOS counterpart in all respect.

[1]  Hiroyuki Mizuno,et al.  Driving source-line cell architecture for sub-1-V high-speed low-power applications , 1996 .

[2]  Zhiyu Liu,et al.  Characterization of a Novel Nine-Transistor SRAM Cell , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[4]  John W. Mintmire,et al.  Universal Density of States for Carbon Nanotubes , 1998 .

[5]  Ulrich Rückert,et al.  A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control , 2013, IEEE Journal of Solid-State Circuits.

[6]  Ron Ho,et al.  Low-power SRAM design using half-swing pulse-mode techniques , 1998, IEEE J. Solid State Circuits.

[7]  Chien-Yu Lu,et al.  A Single-Ended Disturb-Free 9T Subthreshold SRAM With Cross-Point Data-Aware Write Word-Line Structure, Negative Bit-Line, and Adaptive Read Operation Timing Tracing , 2012, IEEE Journal of Solid-State Circuits.

[8]  Mohd. Hasan,et al.  A technique to mitigate impact of process, voltage and temperature variations on design metrics of SRAM Cell , 2012, Microelectron. Reliab..

[9]  C. Hu,et al.  Denser and More Stable SRAM Using FinFETs With Multiple Fin Heights , 2012, IEEE Transactions on Electron Devices.

[10]  天野 英晴 J. L. Hennessy and D. A. Patterson: Computer Architecture: A Quantitative Approach, Morgan Kaufmann (1990)(20世紀の名著名論) , 2003 .

[11]  S. Dasgupta,et al.  Device and Circuit Co-Design Robustness Studies in the Subthreshold Logic for Ultralow-Power Applications for 32 nm CMOS , 2010, IEEE Transactions on Electron Devices.

[12]  Jie Deng,et al.  A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part II: Full Device Model and Circuit Performance Benchmarking , 2007, IEEE Transactions on Electron Devices.

[13]  M.J.M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[14]  Chien-Yu Lu,et al.  A 0.33-V, 500-kHz, 3.94-$\mu\hbox{W}$ 40-nm 72-Kb 9T Subthreshold SRAM With Ripple Bit-Line Structure and Negative Bit-Line Write-Assist , 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.

[15]  Alexander Fish,et al.  A 250 mV 8 kb 40 nm Ultra-Low Power 9T Supply Feedback SRAM (SF-SRAM) , 2011, IEEE Journal of Solid-State Circuits.

[16]  Andrew Evert Carlson Device and circuit techniques for reducing variation in nanoscale SRAM , 2008 .

[17]  Tughrul Arslan,et al.  Variation resilient subthreshold SRAM cell design technique , 2012 .

[18]  K. Roy,et al.  A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.

[19]  Lee-Sup Kim,et al.  A low-power SRAM using hierarchical bit line and local sense amplifiers , 2005, IEEE J. Solid State Circuits.

[20]  Wei Hwang,et al.  A 1kb 9T subthreshold SRAM with bit-interleaving scheme in 65nm CMOS , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[21]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[22]  H.-S. Philip Wong,et al.  First Demonstration of AC Gain From a Single-walled Carbon Nanotube Common-Source Amplifier , 2006, 2006 International Electron Devices Meeting.

[23]  Borivoje Nikolic,et al.  Large-Scale SRAM Variability Characterization in 45 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[24]  Andreas Moshovos,et al.  Low-leakage asymmetric-cell SRAM , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[25]  Dhiraj K. Pradhan,et al.  A single ended 6T SRAM cell design for ultra-low-voltage applications , 2008, IEICE Electron. Express.

[26]  A.P. Chandrakasan,et al.  Nanometer MOSFET Variation in Minimum Energy Subthreshold Circuits , 2008, IEEE Transactions on Electron Devices.

[27]  Wei Hwang,et al.  Design and Iso-Area $V_{\min}$ Analysis of 9T Subthreshold SRAM With Bit-Interleaving Scheme in 65-nm CMOS , 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.

[28]  H.-S. Philip Wong,et al.  Design Methods for Misaligned and Mispositioned Carbon-Nanotube Immune Circuits , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[30]  Chien-Yu Lu,et al.  A disturb-free subthreshold 9T SRAM cell with improved performance and variation tolerance , 2013, 2013 IEEE International SOC Conference.

[31]  Benton H. Calhoun,et al.  Asymmetric sizing in a 45nm 5T SRAM to improve read stability over 6T , 2009, 2009 IEEE Custom Integrated Circuits Conference.

[32]  H. Fujiwara,et al.  Which is the best dual-port SRAM in 45-nm process technology? — 8T, 10T single end, and 10T differential — , 2008, 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial.

[33]  J. Rogers,et al.  High-performance electronics using dense, perfectly aligned arrays of single-walled carbon nanotubes. , 2007, Nature nanotechnology.

[34]  Mathias Beike,et al.  Digital Integrated Circuits A Design Perspective , 2016 .

[35]  M. Hasan,et al.  Leakage Characterization of 10T SRAM Cell , 2012, IEEE Transactions on Electron Devices.

[36]  H. Wong,et al.  A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part I: Model of the Intrinsic Channel Region , 2007, IEEE Transactions on Electron Devices.

[37]  Magdy A. Bayoumi,et al.  Low-Power Cache Design Using 7T SRAM Cell , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.

[38]  Massimo Alioto,et al.  Understanding the Effect of Process Variations on the Delay of Static and Domino Logic , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[39]  Takayasu Sakurai,et al.  90% write power-saving SRAM using sense-amplifying memory cell , 2004 .

[40]  Keshab K. Parhi,et al.  Low power SRAM design using hierarchical divided bit-line approach , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).