A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip

Networks-on-chip (NoCs) are emerging as a key on-chip communication architecture for multiprocessor systems-on-chip (MPSoCs). Optical communication technologies are introduced to NoCs in order to empower ultra-high bandwidth with low power consumption. However, in existing optical NoCs, communication locality is poorly supported, and the importance of floorplanning is overlooked. These significantly limit the power efficiency and performance of optical NoCs. In this work, we address these issues and propose a torus-based hierarchical hybrid optical-electronic NoC, called THOE. THOE takes advantage of both electrical and optical routers and interconnects in a hierarchical manner. It employs several new techniques including floorplan optimization, an adaptive power control mechanism, low-latency control protocols, and hybrid optical-electrical routers with a low-power optical switching fabric. Both of the unfolded and folded torus topologies are explored for THOE. Based on a set of real MPSoC applications, we compared THOE with a typical torus-based optical NoC as well as a torus-based electronic NoC in 45nm on a 256-core MPSoC, using a SystemC-based cycle-accurate NoC simulator. Compared with the matched electronic torus-based NoC, THOE achieves 2.46X performance and 1.51X network switching capacity utilization, with 84% less energy consumption. Compared with the optical torus-based NoC, THOE achieves 4.71X performance and 3.05X network switching capacity utilization, while reducing 99% of energy consumption. Besides real MPSoC applications, a uniform traffic pattern is also used to show the average packet delay and network throughput of THOE. Regarding hardware cost, THOE reduces 75% of laser sources and half of optical receivers compared with the optical torus-based NoC.

[1]  X. Chen,et al.  Racetrack Filters for Nanophotonic on-Chip Networks , 2010 .

[2]  L. Chiaraviglio,et al.  Optical technologies can improve the energy efficiency of networks , 2009, 2009 35th European Conference on Optical Communication.

[3]  J. Dambre,et al.  Integrated optical interconnect for on-chip data transport , 2006, 2006 IEEE North-East Workshop on Circuits and Systems.

[4]  Sudeep Pasricha,et al.  Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors , 2009, CODES+ISSS '09.

[5]  F. Ellinger,et al.  A 100-mW 4/spl times/10 Gb/s transceiver in 80-nm CMOS for high-density optical interconnects , 2005, IEEE Journal of Solid-State Circuits.

[6]  Benjamin G. Lee,et al.  All-Optical Comb Switch for Multiwavelength Message Routing in Silicon Photonic Networks , 2008, IEEE Photonics Technology Letters.

[7]  F. Xia,et al.  High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks , 2008 .

[8]  Sudeep Pasricha,et al.  UC-PHOTON: A novel hybrid photonic network-on-chip for multiple use-case applications , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[9]  Luca Benini,et al.  Powering networks on chips , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[10]  Luca Benini,et al.  Networks on chip: a new paradigm for systems on chip design , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[11]  Lionel M. Ni,et al.  A survey of wormhole routing techniques in direct networks , 1993, Computer.

[12]  Ian O'Connor,et al.  System level assessment of an optical NoC in an MPSoC platform , 2007 .

[13]  Jürgen Teich,et al.  Packet routing in dynamically changing networks on chip , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

[14]  S. Xiao,et al.  Multiple-channel silicon micro-resonator based filters for WDM applications. , 2007, Optics express.

[15]  Ian O'Connor,et al.  Optical solutions for system-level interconnect , 2004, SLIP '04.

[16]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[17]  Chita R. Das,et al.  Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[18]  Radu Marculescu,et al.  On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches , 2008, TODE.

[19]  J.A. Kash IntraChip Optical Networks for a Future Supercomputer-on-a-Chip , 2007, 2007 Photonics in Switching.

[20]  G. Masini,et al.  A 1550nm, 10Gbps monolithic optical receiver in 130nm CMOS with integrated Ge waveguide photodetector , 2007, 2007 4th IEEE International Conference on Group IV Photonics.

[21]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[22]  A Syrbu,et al.  10 Gbps VCSELs with High Single Mode Output in 1310nm and 1550 nm Wavelength Bands , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[23]  Hui Chen,et al.  Predictions of CMOS compatible on-chip optical interconnect , 2005, SLIP '05.

[24]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[25]  John Kim,et al.  FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[26]  E. Alon,et al.  A sub-picojoule-per-bit CMOS photonic receiver for densely integrated systems. , 2010, Optics express.

[27]  F MartínezJosé,et al.  A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010 .

[28]  Partha Pratim Pande,et al.  Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.

[29]  Nevin Kirman,et al.  A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS 2010.

[30]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[31]  Avinash Karanth Kodi,et al.  Exploring the Design of 64- and 256-Core Power Efficient Nanophotonic Interconnect , 2010, IEEE Journal of Selected Topics in Quantum Electronics.

[32]  Michal Lipson,et al.  Broadband Operation of Nanophotonic Router for Silicon Photonic Networks-on-Chip , 2010, IEEE Photonics Technology Letters.

[33]  Chen Ji,et al.  High data rate 850 nm oxide VCSEL for 20 Gbit/s application and beyond , 2009, 2009 Asia Communications and Photonics conference and Exhibition (ACP).

[34]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[35]  Tobias Bjerregaard,et al.  A survey of research and practices of Network-on-chip , 2006, CSUR.

[36]  Fang Xu,et al.  Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip , 2008, SPIE OPTO.

[37]  F. Xia,et al.  Ultracompact optical buffers on a silicon chip , 2007 .

[38]  Nikil D. Dutt,et al.  Trends in Emerging On-Chip Interconnect Technologies , 2008, IPSJ Trans. Syst. LSI Des. Methodol..

[39]  Wei Zhang,et al.  A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip , 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

[40]  José F. Martínez,et al.  A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS XV.

[41]  C. Fonstad,et al.  Full recess integration of small diameter low threshold VCSELs within Si-CMOS ICs. , 2008, Optics express.

[42]  George Michelogiannakis,et al.  Approaching Ideal NoC Latency with Pre-Configured Routes , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[43]  Hugo Thienpont,et al.  Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects , 2009, 2009 17th IEEE Symposium on High Performance Interconnects.

[44]  P. Kapur,et al.  Power comparison between high-speed electrical and optical interconnects for inter-chip communication , 2004, Proceedings of the IEEE 2004 International Interconnect Technology Conference (IEEE Cat. No.04TH8729).

[45]  Steven M. Nowick,et al.  ACM Journal on Emerging Technologies in Computing Systems , 2010, TODE.

[46]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[47]  M. Lipson,et al.  All-optical compact silicon comb switch. , 2007, Optics express.

[48]  J. Doylend,et al.  Design and Simulation of an Integrated Fiber-to-Chip Coupler for Silicon-on-Insulator Waveguides , 2006, IEEE Journal of Selected Topics in Quantum Electronics.

[49]  T. Anan,et al.  High-speed 1.1-μm-range InGaAs VCSELs , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[50]  P. Kapur,et al.  Power comparison between high-speed electrical and optical interconnects for interchip communication , 2004, Journal of Lightwave Technology.

[51]  William J. Dally,et al.  Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.

[52]  Luca P. Carloni,et al.  Design Exploration of Optical Interconnection Networks for Chip Multiprocessors , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[53]  J. Lott,et al.  850 nm VCSELs for up to 40 Gbit/s short reach data links , 2010, CLEO/QELS: 2010 Laser Science to Photonic Applications.

[54]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[55]  Jörg Henkel,et al.  H. 264 HDTV Decoder Using Application-Specific Networks-On-Chip , 2005, 2005 IEEE International Conference on Multimedia and Expo.

[56]  Samuel Palermo,et al.  Optical I/O technology for tera-scale computing , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[57]  William J. Dally,et al.  Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.

[58]  M. Horowitz,et al.  A 14-mW 6.25-Gb/s Transceiver in 90-nm CMOS , 2007, IEEE Journal of Solid-State Circuits.

[59]  Kees G. W. Goossens,et al.  Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip , 2003, DATE.

[60]  M. Morse,et al.  31 GHz Ge n-i-p waveguide photodetectors on Silicon-on-Insulator substrate. , 2007, Optics express.

[61]  David Söderström,et al.  High data rate 850 nm oxide VCSEL for 20 Gb/s application and beyond , 2009, 2009 Asia Communications and Photonics conference and Exhibition (ACP).

[62]  Radu Marculescu,et al.  System-Level Buffer Allocation for Application-Specific Networks-on-Chip Router Design , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[63]  Zheng Wang,et al.  A novel optical mesh network-on-chip for gigascale systems-on-chip , 2008, APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems.

[64]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[65]  Xue Liu,et al.  Efficient SAT-Based Mapping and Scheduling of Homogeneous Synchronous Dataflow Graphs for Throughput Optimization , 2008, 2008 Real-Time Systems Symposium.

[66]  Radu Marculescu,et al.  Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.