PaSE: A parallel speedup estimation framework for Network-on-Chip based multicore systems

The massive integration of cores in multicore system has enabled chip designer to design systems while meeting the power-performance demands of the applications. However, full-system simulations traditionally used to evaluate the speedup of these systems are computationally expensive and time consuming. On the other hand, analytical speedup models such as Amdahl's law are powerful and fast ways to calculate the achievable speedup of these systems. However, Amdahl's Law disregards the communication among the cores that play a vital role in defining the achievable speedup with the multicore systems. To bridge this gap, in this work, we present PaSE a parallel speedup estimation framework for multicore systems that considers the latency of the Network-on-Chip (NoC). To accurately capture the latency of the NoC we also propose a queuing theory based analytical model. We conduct a case study for a matrix multiplication application and evaluate and analyze the speedup from our framework.

[1]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[2]  Radu Marculescu,et al.  A comprehensive and accurate latency model for Network-on-Chip performance analysis , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[3]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[4]  MarculescuRadu,et al.  Outstanding research problems in NoC design , 2009 .

[5]  Yu Su,et al.  Communication Using Antennas Fabricated in Silicon Integrated Circuits , 2007, IEEE Journal of Solid-State Circuits.

[6]  Radu Marculescu,et al.  A Support Vector Regression (SVR)-Based Latency Model for Network-on-Chip (NoC) Architectures , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Pedro López,et al.  Multi2Sim: A Simulation Framework to Evaluate Multicore-Multithreaded Processors , 2007, 19th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD'07).

[8]  Sebastian M. Londono,et al.  Extending Amdahl's law for energy-efficiency , 2010, 2010 International Conference on Energy Aware Computing.

[9]  Israel Cidon,et al.  Delay analysis of wormhole based heterogeneous NoC , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[10]  David Wentzlaff,et al.  Processor: A 64-Core SoC with Mesh Interconnect , 2010 .

[11]  Christof Teuscher,et al.  Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.

[12]  Xian-He Sun,et al.  Reevaluating Amdahl's law in the multicore era , 2010, J. Parallel Distributed Comput..

[13]  Shekhar Borkar,et al.  Obeying Moore's law beyond 0.18 micron [microprocessor design] , 2000, Proceedings of 13th Annual IEEE International ASIC/SOC Conference (Cat. No.00TH8541).

[14]  Amit Goel,et al.  Formal verification of an IBM CoreConnect processor local bus arbiter core , 2000, DAC.

[15]  Partha Pratim Pande,et al.  Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.

[16]  G. Amdhal,et al.  Validity of the single processor approach to achieving large scale computing capabilities , 1967, AFIPS '67 (Spring).

[17]  Coniferous softwood GENERAL TERMS , 2003 .

[18]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[19]  S. Winegarden Bus architecture of a system on a chip with user-configurable system logic , 2000, IEEE Journal of Solid-State Circuits.

[20]  Partha Pratim Pande,et al.  Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation , 2009, IEEE Transactions on Computers.

[21]  Dennis Sylvester,et al.  Impact of small process geometries on microarchitectures in systems on a chip , 2001 .

[22]  Andres Kwasinski,et al.  CDMA Enabled Wireless Network-on-Chip , 2014, JETC.

[23]  Partha Pratim Pande,et al.  Structured interconnect architecture: a solution for the non-scalability of bus-based SoCs , 2004, GLSVLSI '04.

[24]  Brian D. Bunday,et al.  Basic queueing theory , 1986 .

[25]  Amlan Ganguly,et al.  Reconfigurable Wireless Network-on-Chip with a Dynamic Medium Access Mechanism , 2015, NOCS.

[26]  R. Schaller,et al.  Moore's law: past, present and future , 1997 .

[27]  Radu Marculescu,et al.  Analytical router modeling for networks-on-chip performance analysis , 2007 .

[28]  Ted H. Szymanski,et al.  An Analysis of Hypermesh NoCs in FPGAs , 2015, IEEE Transactions on Parallel and Distributed Systems.

[29]  Radu Marculescu,et al.  Analytical Router Modeling for Networks-on-Chip Performance Analysis , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[30]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[31]  Lei Gao,et al.  An accurate and efficient performance analysis approach based on queuing model for network on chip , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[32]  John L. Gustafson,et al.  Reevaluating Amdahl's law , 1988, CACM.

[33]  David Flynn,et al.  AMBA: enabling reusable on-chip designs , 1997, IEEE Micro.

[34]  Dilip Kumar,et al.  Design and synthesis of Wishbone bus Dataflow interface architecture for SoC integration , 2012, 2012 Annual IEEE India Conference (INDICON).

[35]  Ben H. H. Juurlink,et al.  Amdahl's law for predicting the future of multicores considered harmful , 2012, CARN.

[36]  Krishna C. Saraswat,et al.  Technology and reliability constrained future copper interconnects. II. Performance implications , 2002 .

[37]  Amlan Ganguly,et al.  Design Methodology for a Robust and Energy-Efficient Millimeter-Wave Wireless Network-on-Chip , 2015, IEEE Transactions on Multi-Scale Computing Systems.

[38]  Amlan Ganguly,et al.  An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links , 2015, NOCS.

[39]  Theocharis Theocharides,et al.  Intelligent Hotspot Prediction for Network-on-Chip-Based Multicore Systems , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[40]  D. Kendall Stochastic Processes Occurring in the Theory of Queues and their Analysis by the Method of the Imbedded Markov Chain , 1953 .

[41]  Mark D. Hill,et al.  Amdahl's Law in the Multicore Era , 2008 .

[42]  Axel Jantsch,et al.  An Analytical Latency Model for Networks-on-Chip , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[43]  Ran Ginosar,et al.  Network Delays and Link Capacities in Application-Specific Wormhole NoCs , 2007, VLSI Design.

[44]  Mingyu Chen,et al.  Extending Amdahl's law in the multicore era , 2009, SIGMETRICS Perform. Evaluation Rev..

[45]  Eby G. Friedman,et al.  3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[46]  Partha Pratim Pande,et al.  Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects , 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.

[47]  Amlan Ganguly,et al.  A Wireless Interconnection Framework for Seamless Inter and Intra-Chip Communication in Multichip Systems , 2017, IEEE Transactions on Computers.

[48]  N.K. Jha,et al.  Temperature-Aware On-Chip Networks , 2006, IEEE Micro.

[49]  Partha Pratim Pande,et al.  Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects , 2013, IEEE Transactions on Computers.

[50]  Shuming Chen,et al.  Speedup analysis of data-parallel applications on Multi-core NoCs , 2009, 2009 IEEE 8th International Conference on ASIC.

[51]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[52]  Natalie D. Enright Jerger,et al.  Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[53]  Amlan Ganguly,et al.  Co-design of 3D wireless network-on-chip architectures with microchannel-based cooling , 2015, 2015 Sixth International Green and Sustainable Computing Conference (IGSC).