Meta-scheduling techniques for energy-efficient robust and adaptive time-triggered systems
暂无分享,去创建一个
Roman Obermaisser | Babak Sorkhpour | Ayman Murshed | R. Obermaisser | Babak Sorkhpour | Ayman Murshed
[1] Petru Eles,et al. Quasi-static scheduling for multiprocessor real-time systems with hard and soft tasks , 2005, 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA'05).
[2] Radu Marculescu,et al. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[3] T. R. Gopalakrishnan Nair,et al. Model based design of super schedulers managing catastrophic scenario in hard real time systems , 2013, 2013 International Conference on Information Communication and Embedded Systems (ICICES).
[4] Muhammad Shafique,et al. darkNoC: Designing energy-efficient network-on-chip with multi-Vt cells for dark silicon , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[5] Rajesh K. Gupta,et al. Dynamic slack reclamation with procrastination scheduling in real-time embedded systems , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[6] Biao Hu,et al. Schedulability Analysis of General Task Model and Demand Aware Scheduling in Mixed-Criticality Systems , 2017 .
[7] Guido Marchetto,et al. A blocking probability study for the aethereal network-on-chip , 2016, 2016 11th International Design & Test Symposium (IDT).
[8] Sang Lyul Min,et al. Dynamic voltage scaling algorithm for dynamic-priority hard real-time systems using slack time analysis , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[9] Y. Li,et al. An Energy-efficient Scheduling Algorithm forComputation-Intensive Tasks on NoC-based MPSoCs , 2013 .
[10] Shaahin Hessabi,et al. An energy-aware methodology for mapping and scheduling of concurrent applications in MPSoC architectures , 2011, 2011 19th Iranian Conference on Electrical Engineering.
[11] Bin Xie,et al. An Efficient Power-Aware Optimization for Task Scheduling on NoC-based Many-core System , 2010, 2010 10th IEEE International Conference on Computer and Information Technology.
[12] Roman Obermaisser,et al. Scheduling and allocation of time-triggered and event-triggered services for multi-core processors with networks-on-a-chip , 2015, 2015 IEEE 13th International Conference on Industrial Informatics (INDIN).
[13] Manish Gupta,et al. Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors , 2000, IEEE Micro.
[14] Serge Midonnet,et al. Slack-Time Computation for Temporal Robustness in Embedded Systems , 2010, IEEE Embedded Systems Letters.
[15] Shashi Kumar,et al. A two-step genetic algorithm for mapping task graphs to a network on chip architecture , 2003, Euromicro Symposium on Digital System Design, 2003. Proceedings..
[16] Yue Lin,et al. Analysis on Time Triggered Flexible Scheduling with Safety-Critical System , 2017 .
[17] Rami G. Melhem,et al. Dynamic and aggressive scheduling techniques for power-aware real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).
[18] Robert I. Davis,et al. Mixed Criticality Systems - A Review , 2015 .
[19] Markus Iversen Huse. FlexRay Analysis, Configuration Parameter Estimation, and Adversaries , 2017 .
[20] Roman Obermaisser,et al. Fault recovery and adaptation in time-triggered Networks-on-Chips for mixed-criticality systems , 2017, 2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC).
[21] Alois Knoll,et al. Energy-Aware Task Allocation for Network-on-Chip Based Heterogeneous Multiprocessor Systems , 2011, 2011 19th International Euromicro Conference on Parallel, Distributed and Network-Based Processing.
[22] Lothar Thiele,et al. Implementation of Partitioned Mixed-Criticality Scheduling on a Multi-Core Platform , 2017, ACM Trans. Embed. Comput. Syst..
[23] Fei Guan,et al. A Design That Incorporates Adaptive Reservation into Mixed-Criticality Systems , 2017, Sci. Program..
[24] Christian Schöler. Novel scheduling strategies for future NoC and MPSoC architectures , 2017 .
[25] Shashi Kumar,et al. Algorithms and tools for network on chip based system design , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..
[26] Paul V. Gratz,et al. Ocin tsim-DVFS Aware Simulator for NoCs , 2009 .