Numerical and experimental characterization of the thermal behavior of a packaged DRAM-on-logic stack

3D-TSV technologies promise increased system integration at lower cost and reduced footprint. One of the most likely applications of 3D technology is the integration DRAM-on-logic. Thermal management issues are considered one of the potentially showstoppers for 3D-integration. In this paper, we present a thermal experimental and modeling characterization of a packaged DRAM on logic stack. The DRAM die is stacked to the thinned logic die (25μm) using CuSn microbumps. For the experimental characterization a dedicated logic chip with integrated heaters and sensors is used. The thermal impact of logic hot spot dissipation on the temperature profile of the DRAM and the logic die is experimentally characterized in a dedicated socket using two experimental configurations mimicking a high power and a low power configuration respectively. The use of those 2 different experimental configurations of the packaged stack allows the calibration of a detailed finite element thermal model. The calibrated thermal models are used to evaluate the impact of the effective thermal conductivity of the microbump and underfill layer and the impact of the logic die thickness on the temperature distribution in the logic and DRAM die for different cooling configurations of the die stack.

[1]  Davor Pavuna,et al.  TECHNOLOGY AND APPLICATIONS , 1992 .

[2]  T. Dishongh,et al.  Thermal management of die stacking architecture that includes memory and logic processor , 2006, 56th Electronic Components and Technology Conference 2006.

[3]  Dereje Agonafer,et al.  Thermo-Mechanical Challenges in Stacked Packaging , 2008 .

[4]  M. Facchini,et al.  Stackable memory of 3D chip integration for mobile applications , 2008, 2008 IEEE International Electron Devices Meeting.

[5]  Mitsumasa Koyanagi,et al.  Handbook of 3D Integration , 2008 .

[6]  Thomas Brunschwiler,et al.  Thermal Management of Vertically Integrated Packages , 2008 .

[7]  Keiji Matsumoto,et al.  Thermal resistance measurements of interconnections and modeling of thermal conduction path, for the investigation of the thermal resistance of a three-dimensional (3D) chip stack , 2009, 2009 IEEE 13th International Symposium on Consumer Electronics.

[8]  Rajen Chanchani,et al.  3D Integration Technologies – An Overview , 2009 .

[9]  Wouter Ruythooren,et al.  Through-Silicon Via Technology for 3D Applications , 2010 .

[10]  A. Jain,et al.  Analytical and Numerical Modeling of the Thermal Performance of Three-Dimensional Integrated Circuits , 2010, IEEE Transactions on Components and Packaging Technologies.

[11]  Samson Melamed,et al.  Investigation of tier-swapping to improve the thermal profile of memory-on-logic 3DICs , 2010, 2010 16th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC).

[12]  Paresh Limaye,et al.  Design issues and considerations for low-cost 3D TSV IC technology , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[13]  Luca Benini,et al.  Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.

[14]  Eric Beyne Through-Silicon via Technology for 3D IC , 2011 .

[15]  E. Beyne,et al.  Characterization of the thermal impact of Cu-Cu bonds achieved using TSVs on hot spot dissipation in 3D stacked ICs , 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).

[16]  Dragomir Milojevic,et al.  PathFinding and TechTuning , 2011 .

[17]  Bahgat Sammakia,et al.  A Review of Recent Advances in Thermal Management in Three Dimensional Chip Stacks in Electronic Systems , 2011 .

[18]  Paul Marchal,et al.  DRAM-on-logic Stack – Calibrated thermal and mechanical models integrated into PathFinding flow , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

[19]  Bart Vandevelde,et al.  Fine grain thermal modeling and experimental validation of 3D-ICs , 2011, Microelectron. J..

[20]  Bart Vandevelde,et al.  3D technology roadmap and status , 2011, 2011 IEEE International Interconnect Technology Conference.

[21]  Eric Beyne,et al.  Use of Wafer Applied Underfill for 3D Stacking , 2011 .

[23]  K. Sakuma,et al.  Experimental thermal resistance evaluation of a three-dimensional (3D) chip stack, including the transient measurements , 2012, 2012 28th Annual IEEE Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM).

[24]  Peter Ramm,et al.  Handbook of 3D integration : technology and applications of 3D integrated circuits , 2012 .

[25]  B. Dang,et al.  Measurement of microbump thermal resistance in 3D chip stacks , 2012, 2012 28th Annual IEEE Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM).