A 22 to 26.5 Gb/s Optical Receiver With All-Digital Clock and Data Recovery in a 65 nm CMOS Process

This paper presents a 22 to 26.5 Gb/s optical receiver with an all-digital clock and data recovery (AD-CDR) fabricated in a 65 nm CMOS process. The receiver consists of an optical front-end and a half-rate bang-bang clock and data recovery circuit. The optical front-end achieves low power consumption by using inverter-based amplifiers and realizes sufficient bandwidth by applying several bandwidth extension techniques. In addition, in order to minimize additional jitter at the front-end, not only magnitude and bandwidth but also group-delay responses are considered. The AD-CDR employs an LC quadrature digitally controlled oscillator (LC-QDCO) to achieve a high phase noise figure-of-merit at tens of gigahertz. The recovered clock jitter is 1.28 ps rms and the measured jitter tolerance exceeds the tolerance mask specified in IEEE 802.3ba. The receiver sensitivity is 106 and 184 for a bit error rate of 10-12 at data rates of 25 and 26.5 Gb/s, respectively. The entire receiver chip occupies an active die area of 0.75 mm2 and consumes 254 mW at a data rate of 26.5 Gb/s. The energy efficiencies of the front-end and entire receiver at 26.5 Gb/s are 1.35 and 9.58 pJ/bit, respectively.

[1]  Thomas Toifl,et al.  A 28-Gb/s 4-Tap FFE/15-Tap DFE Serial Link Transceiver in 32-nm SOI CMOS Technology , 2012, IEEE Journal of Solid-State Circuits.

[2]  D. K. Shaeffer,et al.  Performance-optimized microstrip coupled VCOs for 40-GHz and 43-GHz OC-768 optical transmission , 2003, IEEE J. Solid State Circuits.

[3]  Alexander V. Rylyakov,et al.  25Gb/s 3.6pJ/b and 15Gb/s 1.37pJ/b VCSEL-based optical links in 90nm CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.

[4]  Pietro Andreani A 2GHz, 17% tuning range quadrature CMOS VCO with high figure–of–merit and 0.6° phase error , 2002 .

[5]  Clint L. Schow,et al.  35-Gb/s VCSEL-Based optical link using 32-nm SOI CMOS circuits , 2013, 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC).

[6]  N. Nguyen,et al.  A 1-4 Gbps quad transceiver cell using PLL with gate-current leakage compensator in 90nm CMOS , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).

[7]  F.J. Leonberger,et al.  Optical interconnections for VLSI systems , 1984, Proceedings of the IEEE.

[8]  Toru Yazaki,et al.  A 25-to-28 Gb/s High-Sensitivity ( $-$9.7 dBm) 65 nm CMOS Optical Receiver for Board-to-Board Interconnects , 2014, IEEE Journal of Solid-State Circuits.

[9]  Dan Li,et al.  A Low-Noise Design Technique for High-Speed CMOS Optical Receivers , 2014, IEEE Journal of Solid-State Circuits.

[10]  Deog-Kyoon Jeong,et al.  A Fully Integrated 0.13- $\mu$m CMOS 40-Gb/s Serial Link Transceiver , 2009, IEEE Journal of Solid-State Circuits.

[11]  A. Rofougaran,et al.  A 900 MHz CMOS LC-oscillator with quadrature outputs , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[12]  Jri Lee,et al.  4×25 Gb/s Transceiver With Optical Front-end for 100 GbE System in 65 nm CMOS Technology , 2015, IEEE Journal of Solid-State Circuits.

[13]  Suhwan Kim,et al.  A 1.0–4.0-Gb/s All-Digital CDR With 1.0-ps Period Resolution DCO and Adaptive Proportional Gain Control , 2011, IEEE Journal of Solid-State Circuits.

[14]  H.T. Friis,et al.  Noise Figures of Radio Receivers , 1944, Proceedings of the IRE.

[15]  O. Moreira-Tamayo,et al.  All-digital TX frequency synthesizer and discrete-time receiver for Bluetooth radio in 130-nm CMOS , 2004, IEEE Journal of Solid-State Circuits.

[16]  Kyungock Kim,et al.  A 20-Gb/s 1.27pJ/b low-power optical receiver front-end in 65nm CMOS , 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

[17]  Joohwa Kim,et al.  A 40-Gb/s Optical Transceiver Front-End in 45 nm SOI CMOS , 2012, IEEE Journal of Solid-State Circuits.

[18]  Shinji Nishimura,et al.  10:4 MUX and 4:10 DEMUX gearbox LSI for 100-gigabit Ethernet link , 2011, 2011 IEEE International Solid-State Circuits Conference.

[19]  R. Walker Designing Bang-Bang PLLs for Clock and Data Recovery in Serial Data Transmission Systems , .

[20]  A. Bonfanti,et al.  Analysis and design of a 1.8-GHz CMOS LC quadrature VCO , 2002, IEEE J. Solid State Circuits.

[21]  Jason T. S. Liao,et al.  Optical I/O technology for tera-scale computing , 2009, ISSCC 2009.

[22]  Chih-Chang Lin,et al.  8.4 A 28Gb/s 1pJ/b shared-inductor optical receiver with 56% chip-area reduction in 28nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[23]  M. Teich,et al.  Fundamentals of Photonics , 1991 .

[24]  B. Razavi,et al.  10-Gb/s limiting amplifier and laser/modulator driver in 0.18-μm CMOS technology , 2003, IEEE J. Solid State Circuits.

[25]  Taeho Kim,et al.  A 0.87 W Transceiver IC for 100 Gigabit Ethernet in 40 nm CMOS , 2015, IEEE Journal of Solid-State Circuits.

[26]  Behzad Razavi,et al.  A study of phase noise in CMOS oscillators , 1996, IEEE J. Solid State Circuits.

[27]  B. Razavi,et al.  - Gb / s Limiting Amplifier and Laser / Modulator Driver in 0 . 18-m CMOS Technology , 2001 .

[28]  F. Ellinger,et al.  A low-power 20-GHz 52-dB/spl Omega/ transimpedance amplifier in 80-nm CMOS , 2004, IEEE Journal of Solid-State Circuits.

[29]  Stephen P. Boyd,et al.  Bandwidth extension in CMOS with optimized on-chip inductors , 2000, IEEE Journal of Solid-State Circuits.

[30]  Sang-Gug Lee,et al.  An inductance enhancement technique and its application to a shunt-peaked 2.5 Gb/s transimpedance amplifier design , 2004, IEEE Transactions on Circuits and Systems II: Express Briefs.

[31]  D.A.B. Miller,et al.  Rationale and challenges for optical interconnects to electronic chips , 2000, Proceedings of the IEEE.

[32]  Yi Zhang,et al.  Silicon Photonics: The Next Fabless Semiconductor Industry , 2013, IEEE Solid-State Circuits Magazine.

[33]  Shuhei Amakawa,et al.  Design of CMOS inverter-based output buffers adapting the cherry-hooper broadbanding technique , 2009, 2009 European Conference on Circuit Theory and Design.

[34]  Deog-Kyoon Jeong,et al.  A 26.5 Gb/s optical receiver with all-digital clock and data recovery in 65nm CMOS process , 2014, 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC).

[35]  Anthony Chan Carusone,et al.  CMOS Technology Scaling Considerations for Multi-Gbps Optical Receivers With Integrated Photodetectors , 2011, IEEE Journal of Solid-State Circuits.

[36]  Suhwan Kim,et al.  A 2.8Gb/s All-Digital CDR with a 10b Monotonic DCO , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[37]  E. M. Cherry,et al.  The Design of Wide-Band Transistor Feedback Amplifiers , 1963 .

[38]  Shinji Nishimura,et al.  A 10:4 MUX and 4:10 DEMUX Gearbox LSI for 100-Gigabit Ethernet Link , 2011, IEEE Journal of Solid-State Circuits.

[39]  Pavan Kumar Hanumolu,et al.  Digitally-Enhanced Phase-Locking Circuits , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[40]  Saman Saeedi,et al.  A 25Gb/s 170μW/Gb/s optical receiver in 28nm CMOS for chip-to-chip optical communication , 2014, 2014 IEEE Radio Frequency Integrated Circuits Symposium.