DVFS Based on Voltage Dithering and Clock Scheduling for GALS Systems

To effectively manage power in Globally-Asynchronous Locally-Synchronous (GALS) systems with many interconnected nodes, each should be ideally provided with an individual Dynamic Voltage and Frequency Scaling (DVFS) mechanism. However, full-blown DVFS requires complex voltage regulators and PLL or DLL circuits. To reduce the difficulty of integrating many complex DVFS controllers, we propose a DVFS system that makes use of 1) voltage dithering between a few voltage levels, 2) a scheduler that selectively kills ticks of a high-frequency clock to create an "effective" clock frequency and 3) a local distributed clock-gating mechanism that periodically stalls the registers of a pipeline without incurring the penalties of global clock gating. We report results obtained on a CMOS 45 nm technology and show that the behavior is close to that of an ideal DVFS, even with only two voltage levels.

[1]  S. Naffziger,et al.  A 90-nm variable frequency clock system for a power-managed itanium architecture processor , 2006, IEEE Journal of Solid-State Circuits.

[2]  Anantha Chandrakasan,et al.  Embedded power supply for low-power DSP , 1997, IEEE Trans. Very Large Scale Integr. Syst..

[3]  Saurabh Dighe,et al.  A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling , 2011, IEEE Journal of Solid-State Circuits.

[4]  Alberto L. Sangiovanni-Vincentelli,et al.  A methodology for correct-by-construction latency insensitive design , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[5]  H. Lhermet,et al.  An Asynchronous Power Aware and Adaptive NoC Based Circuit , 2009, IEEE Journal of Solid-State Circuits.

[6]  Pradip Bose,et al.  Synchronous interlocked pipelines , 2002, Proceedings Eighth International Symposium on Asynchronous Circuits and Systems.

[7]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[8]  Hiroshi Kawaguchi,et al.  A Controller LSI for Realizing VDD-Hopping Scheme with Off-the-Shelf Processors and Its Application to MPEG4 System , 2002 .

[9]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[10]  Vivek Tiwari,et al.  Reducing power in high-performance microprocessors , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[11]  A.P. Chandrakasan,et al.  Ultra-dynamic Voltage scaling (UDVS) using sub-threshold operation and local Voltage dithering , 2006, IEEE Journal of Solid-State Circuits.

[12]  Jordi Cortadella,et al.  Synthesis of synchronous elastic architectures , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[13]  Zhiyi Yu,et al.  A 167-Processor Computational Platform in 65 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[14]  Luis F. G. Sarmenta,et al.  Rational clocking [digital systems design] , 1995, Proceedings of ICCD '95 International Conference on Computer Design. VLSI in Computers and Processors.

[15]  Gu-Yeon Wei,et al.  A fully-integrated 3-level DC/DC converter for nanosecond-scale DVS with fast shunt regulation , 2011, 2011 IEEE International Solid-State Circuits Conference.

[16]  David G. Messerschmitt,et al.  Synchronization in Digital System Design , 1990, IEEE J. Sel. Areas Commun..