Design Choice in 45-nm Dual-Port SRAM - 8T, 10T Single End, and 10T Differential

As process technology is scaled down, a large-capacity SRAM will be used. Its power must be lowered. The Vth variation of the deep-submicron process affects the SRAM operation and its power. This paper compares the macro area, readout power, and operating frequency among dual-port SRAMs: an 8T SRAM, 10T single-end SRAM, and 10T differential SRAM considering the multi-media applications. The 8T SRAM has the lowest transistor count, and is the most area efficient. However, the readout power becomes large and the access time increases because of peripheral circuits. The 10T single-end SRAM, in which a dedicated inverter and transmission gate are appended as a single-end read port, can reduce the readout power by 74%. The operating frequency is improved by 195%, over the 8T SRAM. However, the 10T differential SRAM can operate fastest (256% faster than the 8T SRAM) because its small differential voltage of 50mV achieves high-speed operation. In terms of the power efficiency, however, the readout current is affected by the Vth variation and the timing of sense cannot be optimized singularly among all memory cells in a 45-nm technology. The readout power remains 34% lower than that of the 8T SRAM (33% higher than the 10T single-end SRAM); even its operating voltage is the lowest of the three. The 10T single-end SRAM always consumes less readout power than the 8T or 10T differential SRAM.

[1]  Shunsuke Okumura,et al.  A 10T Non-precharge Two-Port SRAM Reducing Readout Power for Video Processing , 2008, IEICE Trans. Electron..

[2]  Kaushik Roy,et al.  Modeling and testing of SRAM for new failure mechanisms due to process variations in nanoscale CMOS , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

[3]  K. Soumyanath,et al.  A 130-nm 6-GHz 256 × 32 bit leakage-tolerant register file , 2002, IEEE J. Solid State Circuits.

[4]  K. Ishibashi,et al.  A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits , 2007, IEEE Journal of Solid-State Circuits.

[5]  Masahiko Yoshimoto,et al.  A 10T Non-Precharge Two-Port SRAM for 74% Power Reduction in Video Processing , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).

[6]  T. Douseki,et al.  A 0.5-V 25-MHz 1-mW 256-kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment - sure write operation by using step-down negatively overdriven bitline scheme , 2006, IEEE Journal of Solid-State Circuits.

[7]  Masahiko Yoshimoto,et al.  A Low-Power Systolic Array Architecture for Block-Matching Motion Estimation , 2005, IEICE Trans. Electron..

[8]  Mohamed A. Elgamel,et al.  Dual sense amplified bit lines (DSABL) architecture for low-power SRAM design , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[9]  H. Fujiwara,et al.  Which is the best dual-port SRAM in 45-nm process technology? — 8T, 10T single end, and 10T differential — , 2008, 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial.

[10]  A.P. Chandrakasan,et al.  A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy , 2008, IEEE Journal of Solid-State Circuits.

[11]  Anna W. Topol,et al.  Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[12]  Atila Alvandpour,et al.  A 130-nm 6-GHz 256 /spl times/ 32 bit leakage-tolerant register file , 2002 .

[13]  Yong-Bin Kim,et al.  Design and analysis of a 32 nm PVT tolerant CMOS SRAM cell for low leakage and high stability , 2010, Integr..

[14]  Masahiko Yoshimoto,et al.  Novel Video Memory Reduces 45% of Bitline Power Using Majority Logic and Data-Bit Reordering , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.