Novel low-power bus invert coding methods with crosstalk detector

In System-on-a-Chip designs, crosstalk effects cause serious problems, such as wire propagation delay, noise, and power dissipation. In this article, we propose two new bus coding methods to reduce the dynamic power dissipation and wire propagation delay on buses efficiently. The proposed methods reduce both the dynamic power dissipation and wire propagation delay more than the existing bus coding methods do. Experimental results show that the crosstalk detector bus invert (CDBI) method reduces coupling activity to 25.7% from 36.4% and switching activity to 4.5% from 8.5% on 8-bit to 32-bit data buses. It reduces total power dissipation more than the other bus coding methods when a load capacitance is more than 0.3 pF/bit with UMC 0.09-µm CMOS technology. The enhanced CDBI (ECDBI) coding method reduces coupling activity to 28.4% from 38.4% and switching activity to 10.1% from 14% on 8-bit to 32-bit data buses. It reduces total power dissipation more than the other bus coding methods when a load capacitance is more than 0.2 pF/bit with UMC 0.09-µm CMOS technology. For a 0.8 pF/bit load capacitance, both the proposed methods reduce total power use by 19.3–30.9% when systems are implemented with UMC 0.09-µm CMOS technology. Similarly, both the proposed methods also reduce total power consumption more than the other bus coding methods with TSMC 0.18-µm CMOS technology. Meanwhile, the CDBI and the ECDBI schemes reduce total propagation delay up to 31.8% and 34.2%, respectively, on 32-bit data buses.

[1]  Kiyoung Choi,et al.  Narrow bus encoding for low-power DSP systems , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[2]  Rung-Bin Lin,et al.  Inter-Wire Coupling Reduction Analysis of Bus-Invert Coding , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

[3]  Yehea I. Ismail,et al.  Formal derivation of optimal active shielding for low-power on-chip buses , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[4]  Cristina Silvano,et al.  Power optimization of system-level address buses based on software profiling , 2000, Proceedings of the Eighth International Workshop on Hardware/Software Codesign. CODES 2000 (IEEE Cat. No.00TH8518).

[5]  Rung-Bin Lin,et al.  Is more redundancy better for on-chip bus encoding , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[6]  David Blaauw,et al.  Performance optimization of critical nets through active shielding , 2004, IEEE Transactions on Circuits and Systems I: Regular Papers.

[7]  Yao-Wen Chang,et al.  RLC Coupling-Aware Simulation and On-Chip Bus Encoding for Delay Reduction , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Malgorzata Marek-Sadowska,et al.  Crosstalk reduction for VLSI , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[9]  Sung-Mo Kang,et al.  Coupling-driven signal encoding scheme for low-power interface design , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[10]  Po-Tsang Huang,et al.  Low power encoding schemes for run-time on-chip bus , 2004, The 2004 IEEE Asia-Pacific Conference on Circuits and Systems, 2004. Proceedings..

[11]  Enrico Macii,et al.  Low-energy encoding for deep-submicron address buses , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).

[12]  M.A. Elgamel,et al.  Interconnect noise analysis and optimization in deep submicron technology , 2003, IEEE Circuits and Systems Magazine.

[13]  David Harris,et al.  CMOS VLSI Design: A Circuits and Systems Perspective , 2004 .

[14]  Enrico Macii,et al.  Wire placement for crosstalk energy minimization in address buses , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[15]  Hiroto Yasuura,et al.  A bus delay reduction technique considering crosstalk , 2000, DATE '00.

[16]  Luca Benini,et al.  Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems , 1997, Proceedings Great Lakes Symposium on VLSI.

[17]  Kurt Keutzer,et al.  Bus encoding to prevent crosstalk delay , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[18]  Kevin Skadron,et al.  Odd/even bus invert with two-phase transfer for buses with coupling , 2002, ISLPED '02.

[19]  Chunjie Duan,et al.  Analysis and avoidance of cross-talk in on-chip buses , 2001, HOT 9 Interconnects. Symposium on High Performance Interconnects.

[20]  Kiyoung Choi,et al.  Reduction of bus transitions with partial bus-invert coding , 1998 .

[21]  Enrico Macii,et al.  Low-energy for deep-submicron address buses , 2001, ISLPED '01.

[22]  A. Orailoglu,et al.  A unified transformational approach for reductions in fault vulnerability, power, and crosstalk noise and delay on processor buses , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[23]  Marcello Lajolo Bus guardians: an effective solution for online detection and correction of faults affecting system-on-chip buses , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[24]  Taewhan Kim Low Power Bus Encoding with Crosstalk Delay Elimination , 2002 .

[25]  Taewhan Kim,et al.  Bus-invert coding for low-power I/O - a decomposition approach , 2000, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems (Cat.No.CH37144).

[26]  Naresh R. Shanbhag,et al.  A coding framework for low-power address and data busses , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[27]  Jason Cong,et al.  An interconnect-centric design flow for nanometer technologies , 2001, Proc. IEEE.

[28]  Mircea R. Stan,et al.  Bus-invert coding for low-power I/O , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[29]  Kiyoung Choi,et al.  Partial bus-invert coding for power optimization of application-specific systems , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[30]  Tughrul Arslan,et al.  Low power system on chip bus encoding scheme with crosstalk noise reduction capability , 2006 .