Ultra-Low Voltage Microcontrollers

To leverage the design strategy presented in Chap. 3 to its full capability, this chapter implements a state-of-the-art microcontroller system. The main goal is to provide a proof-of-concept implementation on an industry-proven design to showcase the efficacy of the mentioned strategy, as well as achieve excellent energy and speed performance. The ARM Cortex-M0 core is chosen to this end. It is used in a variety of commercial systems going from IoT nodes (Sparkfun, NEST: Nest Thermostat Teardown. https://learn.sparkfun.com/tutorials/nest-thermostat-teardown- (2016); TechInsights, Fitbit: Fitbit Charge 2 Teardown. http://www.techinsights.com/about-techinsights/overview/blog/fitbit-charge-2-teardown/) to virtual reality (VR) glasses (IFixit, Oculus-VR: Oculus Rift CV1 Teardown - iFixit. https://www.ifixit.com/Teardown/Oculus+Rift+CV1+Teardown/60612, 2016). The core is ideally suited for energy-constrained applications, so it has been a recurrent topic in low voltage literature as well.

[1]  Matthew J. Turnquist,et al.  Timing-Error Detection Design Considerations in Subthreshold: An 8-bit Microprocessor in 65 nm CMOS , 2012 .

[2]  Mingoo Seok,et al.  R-processor: 0.4V resilient processor with a voltage-scalable and low-overhead in-situ error detection and correction technique in 65nm CMOS , 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.

[3]  Chingwei Yeh,et al.  Self-super-cutoff power gating with state retention on a 0.3V 0.29fJ/cycle/gate 32b RISC core in 0.13µm CMOS , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[4]  K.S.J. Pister,et al.  An ultra-low energy microcontroller for Smart Dust wireless sensor networks , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[5]  Benton H. Calhoun,et al.  A 32 b 90 nm Processor Implementing Panoptic DVS Achieving Energy Efficient Operation From Sub-Threshold to High Performance , 2014, IEEE Journal of Solid-State Circuits.

[6]  Francky Catthoor,et al.  Ultra Low-Energy SRAM Design for Smart Ubiquitous Sensors , 2012, IEEE Micro.

[7]  David Blaauw,et al.  Clock network design for ultra-low power applications , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[8]  R. Kling,et al.  IMOTE2: Serious Computation at the Edge , 2008, 2008 International Wireless Communications and Mobile Computing Conference.

[9]  Wim Dehaene,et al.  A 65 nm, 850 MHz, 256 kbit, 4.3 pJ/access, Ultra Low Leakage Power Memory Using Dynamic Cell Stability and a Dual Swing Data Link , 2012, IEEE Journal of Solid-State Circuits.

[10]  Jan M. Rabaey,et al.  Ultralow-Power Design in Near-Threshold Region , 2010, Proceedings of the IEEE.

[11]  Ulrich Rückert,et al.  A 200mV 32b subthreshold processor with adaptive supply voltage control , 2012, 2012 IEEE International Solid-State Circuits Conference.

[12]  Fady Abouzeid,et al.  A 2.7 pJ/cycle 16 MHz, 0.7 $\mu\text{W}$ Deep Sleep Power ARM Cortex-M0+ Core SoC in 28 nm FD-SOI , 2018, IEEE Journal of Solid-State Circuits.

[13]  Pranay Prabhat,et al.  A Subthreshold ARM Cortex-M0+ Subsystem in 65 nm CMOS for WSN Applications with 14 Power Domains, 10T SRAM, and Integrated Voltage Regulator , 2016, IEEE Journal of Solid-State Circuits.

[14]  Pascual Campoy Cervera,et al.  Computer vision based general object following for GPS-denied multirotor unmanned vehicles , 2014, 2014 American Control Conference.

[15]  E. Babayan,et al.  Teaching IC design with the ARM Cortex-M0 DesignStart processor and Synopsys 90nm Educational Design Kit , 2013, 2013 3rd Interdisciplinary Engineering Design Education Conference.

[16]  Fady Abouzeid,et al.  A 2.7pJ/cycle 16MHz SoC with 4.3nW power-off ARM Cortex-M0+ core in 28nm FD-SOI , 2017, ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.

[17]  David Blaauw,et al.  8.2 Batteryless Sub-nW Cortex-M0+ processor with dynamic leakage-suppression logic , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[18]  Stuart N. Wooters,et al.  A 2.6-µW sub-threshold mixed-signal ECG SoC , 2009, 2009 Symposium on VLSI Circuits.

[19]  Wim Dehaene,et al.  A 16.07pJ/cycle 31MHz fully differential transmission gate logic ARM Cortex M0 core in 40nm CMOS , 2016, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.

[20]  James D. Meindl,et al.  Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.

[21]  Sylvain Clerc,et al.  28nm FD-SOI technology and design platform for sub-10pJ/cycle and SER-immune 32bits processors , 2015, ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference (ESSCIRC).

[22]  Mario Konijnenburg,et al.  A voltage-scalable biomedical signal processor running ECG using 13pJ/cycle at 1MHz and 0.4V , 2011, 2011 IEEE International Solid-State Circuits Conference.

[23]  Vinayak Honkote,et al.  A Sub-cm3 Energy-Harvesting Stacked Wireless Sensor Node Featuring a Near-Threshold Voltage IA-32 Microcontroller in 14-nm Tri-Gate CMOS for Always-ON Always-Sensing Applications , 2017, IEEE Journal of Solid-State Circuits.

[24]  A.P. Chandrakasan,et al.  A 65 nm Sub-$V_{t}$ Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter , 2008, IEEE Journal of Solid-State Circuits.

[25]  Refet Firat Yazicioglu,et al.  A Configurable and Low-Power Mixed Signal SoC for Portable ECG Monitoring Applications , 2011, IEEE Transactions on Biomedical Circuits and Systems.

[26]  J.-H. Chiu,et al.  NFC Mobile Transactions and Authentication Based on GSM Network , 2010, 2010 Second International Workshop on Near Field Communication.

[27]  Henning Puder,et al.  Binaural Signal Processing in Hearing Aids: Technologies and Algorithms , 2008 .

[28]  Wim Dehaene,et al.  A Differential Transmission Gate Design Flow for Minimum Energy Sub-10-pJ/Cycle ARM Cortex-M0 MCUs , 2017, IEEE Journal of Solid-State Circuits.

[29]  Pranay Prabhat,et al.  8.1 An 80nW retention 11.7pJ/cycle active subthreshold ARM Cortex-M0+ subsystem in 65nm CMOS for WSN applications , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[30]  Massimo Alioto,et al.  A 595pW 14pJ/Cycle microcontroller with dual-mode standard cells and self-startup for battery-indifferent distributed sensing , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[31]  Saurabh Dighe,et al.  A 280mV-to-1.2V wide-operating-range IA-32 processor in 32nm CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.

[32]  David Bol,et al.  SleepWalker: A 25-MHz 0.4-V Sub- $\hbox{mm}^{2}$ 7- $\mu\hbox{W/MHz}$ Microcontroller in 65-nm LP/GP CMOS for Low-Carbon Wireless Sensor Nodes , 2013, IEEE Journal of Solid-State Circuits.

[33]  Zhigang Mao,et al.  In Situ Error Detection Techniques in Ultralow Voltage Pipelines: Analysis and Optimizations , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.