Algorithms for Gate Sizing and Device Parameter Selection for High-Performance Designs

It is becoming increasingly important to design high-performance circuits with as low power as possible. In this paper, we study the gate sizing and device parameter selection problem for today's industrial designs. We first outline the typical practical problems that make it difficult to use traditional algorithms on high-performance industrial designs. Then, we propose a Lagrangian relaxation-based formulation that decouples timing analysis from optimization without a resulting loss in accuracy. We also propose a graph model that accurately captures discrete cell-type characteristics based on library data. We model the relaxed Lagrangian subproblem as a graph problem and propose algorithms to solve it. In our experiments, we demonstrate the importance of using the signoff timing engine to guide the optimization. We also show the benefit of the graph model we propose to solve the discrete optimization problem. Compared to a state-of-the art industrial optimization flow, we show that our algorithms can obtain up to 38% leakage power reductions and better overall timing for real high-performance microprocessor blocks.

[1]  Martin D. F. Wong,et al.  Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[2]  Cheng-Kok Koh,et al.  Power minimization by simultaneous dual-V/sub th/ assignment and gate-sizing , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[3]  Hiran Tennakoon,et al.  Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[4]  Sung-Mo Kang,et al.  An exact solution to the transistor sizing problem for CMOS circuits using convex optimization , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Puneet Gupta,et al.  Incremental gate sizing for late process changes , 2010, 2010 IEEE International Conference on Computer Design.

[6]  David Blaauw,et al.  Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment , 2004, Proceedings. 41st Design Automation Conference, 2004..

[7]  Charlie Chung-Ping Chen,et al.  Fast and effective gate-sizing with multiple-V/sub t/ assignment using generalized Lagrangian relaxation , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[8]  Mark Y. Liu,et al.  A 32nm logic technology featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171μm2 SRAM cell size in a 291Mb array , 2008, 2008 IEEE International Electron Devices Meeting.

[9]  Jiang Hu,et al.  Gate sizing and device technology selection algorithms for high-performance industrial designs , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[10]  Marshall L. Fisher,et al.  An Applications Oriented Guide to Lagrangian Relaxation , 1985 .

[11]  Wing Ning Li,et al.  Strongly NP-hard discrete gate sizing problems , 1993, Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.

[12]  Jiang Hu,et al.  Lagrangian relaxation for gate implementation selection , 2011, ISPD '11.

[13]  Charlie Chung-Ping Chen,et al.  Fast and effective gate-sizing with multiple-Vt assignment using generalized Lagrangian Relaxation , 2005, ASP-DAC.

[14]  Shantanu Dutt,et al.  A Network-Flow Based Cell Sizing Algorithm , 2008 .

[15]  Shiyan Hu,et al.  Gate Sizing for Cell-Library-Based Designs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Hai Zhou,et al.  A Revisit to Floorplan Optimization by Lagrangian Relaxation , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[17]  Hiran Tennakoon,et al.  Power reduction via near-optimal library-based cell-size selection , 2011, 2011 Design, Automation & Test in Europe.

[18]  Martin D. F. Wong,et al.  A Length-Matching Routing Algorithm for High-Performance Printed Circuit Boards , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  David G. Chinnery,et al.  Linear programming for sizing, Vth and Vdd assignment , 2005, ISLPED '05.

[20]  Jochen A. G. Jess,et al.  Gate sizing in MOS digital circuits with linear programming , 1990, Proceedings of the European Design Automation Conference, 1990., EDAC..

[21]  H. Zhou,et al.  Gate Sizing by Lagrangian Relaxation Revisited , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[22]  Jiang Hu,et al.  A New Algorithm for Simultaneous Gate Sizing and Threshold Voltage Assignment , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  Pak K. Chan Algorithms for library-specific sizing of combinational logic , 1991, DAC '90.

[24]  Olivier Coudert,et al.  Gate sizing for constrained delay/power/area optimization , 1997, IEEE Trans. Very Large Scale Integr. Syst..

[25]  Noel Menezes,et al.  A multi-port current source model for multiple-input switching effects in CMOS library cells , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[26]  Yu Hen Hu,et al.  Numerically Convex Forms and Their Application in Gate Sizing , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Stephan Held,et al.  Gate sizing for large cell-based designs , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[28]  Ross Baldick,et al.  A sequential quadratic programming approach to concurrent gate and wire sizing , 1995, ICCAD.