Hardware Variability-Aware Duty Cycling for Embedded Sensors

Instance and temperature-dependent power variation has a direct impact on quality of sensing for battery-powered long-running sensing applications. We measure and characterize the active and leakage power for an ARM Cortex M3 processor and show that, across a temperature range of 20 -60, there is a 10% variation in active power, and a variation in leakage power. We introduce variability-aware duty cycling methods and a duty cycle (DC) abstraction for TinyOS which allows applications to explicitly specify the lifetime and minimum DC requirements for individual tasks, and dynamically adjusts the DC rates so that the overall quality of service is maximized in the presence of power variability. We show that variability-aware duty cycling yields a improvement in total active time over schedules based on worst case estimations of power, with an average improvement of across a wide variety of deployment scenarios based on the collected temperature traces. Conversely, datasheet power specifications fail to meet required lifetimes by 7%-15%, with an average 37 days short of the required lifetime of 1 year. Finally, we show that a target localization application using variability-aware DC yields a 50% improvement in quality of results over one based on worst case estimations of power consumption.

[1]  Kaushik Roy,et al.  Novel sizing algorithm for yield improvement under process variation in nanometer technology , 2004, Proceedings. 41st Design Automation Conference, 2004..

[2]  Kaushik Roy,et al.  A new paradigm for low-power, variation-tolerant circuit synthesis using critical path isolation , 2006, ICCAD.

[3]  Siddharth Garg,et al.  On the Impact of Manufacturing Process Variations on the Lifetime of Sensor Networks , 2012, ACM Trans. Embed. Comput. Syst..

[4]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[5]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[6]  Jeffrey Fan,et al.  Leakage temperature dependency modeling in system level analysis , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[7]  A.B. Kahng,et al.  Impact of Guardband Reduction On Design Outcomes: A Quantitative Approach , 2009, IEEE Transactions on Semiconductor Manufacturing.

[8]  Narain D. Arora,et al.  MOSFET Modeling for VLSI Simulation - Theory and Practice , 2006, International Series on Advances in Solid State Electronics and Technology.

[9]  Alan J. Weger,et al.  Thermal-aware task scheduling at the system software level , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[10]  Joseph A. Paradiso,et al.  CargoNet: a low-cost micropower sensor node exploiting quasi-passive wakeup for adaptive asychronous monitoring of exceptional events , 2007, SenSys '07.

[11]  Tom W. Chen,et al.  Post Silicon Power/Performance Optimization in the Presence of Process Variations Using Individual Well-Adaptive Body Biasing , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Meeta Sharma Gupta,et al.  Software-assisted hardware reliability: Abstracting circuit-level challenges to the software stack , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[13]  Cormac J. Sreenan,et al.  Car-Park Management using Wireless Sensor Networks , 2006, Proceedings. 2006 31st IEEE Conference on Local Computer Networks.

[14]  David Blaauw,et al.  A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation , 2011, IEEE Journal of Solid-State Circuits.

[15]  Philip Levis,et al.  Apprehending joule thieves with cinder , 2009, MobiHeld '09.

[16]  A. R. Newton,et al.  Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas , 1990 .

[17]  Gang Zhou,et al.  Achieving Real-Time Target Tracking UsingWireless Sensor Networks , 2006, 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06).

[18]  Puneet Gupta,et al.  Variability-aware duty cycle scheduling in long running embedded sensing systems , 2011, 2011 Design, Automation & Test in Europe.

[19]  Mani B. Srivastava,et al.  Power management in energy harvesting sensor networks , 2007, TECS.

[20]  Pedro José Marrón,et al.  Meeting lifetime goals with energy levels , 2007, SenSys '07.

[21]  Puneet Gupta,et al.  A case for opportunistic embedded sensing in presence of hardware power variability , 2010 .

[22]  Ankur Srivastava,et al.  Variability-Driven Formulation for Simultaneous Gate Sizing and Postsilicon Tunability Allocation , 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[23]  Enrico Macii,et al.  Temperature-Insensitive Dual- $V_{\rm th}$ Synthesis for Nanometer CMOS Technologies Under Inverse Temperature Dependence , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[24]  Ankur Srivastava,et al.  Variability-Driven Formulation for Simultaneous Gate Sizing and Postsilicon Tunability Allocation , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[25]  Xiaoyu Song,et al.  Improving the process-variation tolerance of digital circuits using gate sizing and statistical techniques , 2005, Design, Automation and Test in Europe.

[26]  Kaushik Roy,et al.  Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters , 2006, TODE.

[27]  Mihaela van der Schaar,et al.  Software adaptation in quality sensitive applications to deal with hardware variability , 2010, GLSVLSI '10.

[28]  Riccardo Bettati,et al.  Imprecise computations , 1994, Proc. IEEE.

[29]  Andrzej Kos,et al.  Temperature influence on energy losses in MOSFET capacitors , 2004, Microelectron. Reliab..

[30]  W.J. Kaiser,et al.  The low power energy aware processing (LEAP) embedded networked sensor system , 2006, 2006 5th International Conference on Information Processing in Sensor Networks.

[31]  Kaushik Roy,et al.  Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100nm technologies , 2005, Design, Automation and Test in Europe.

[32]  Amin Vahdat,et al.  ECOSystem: managing energy as a first class operating system resource , 2002, ASPLOS X.

[33]  Mani B. Srivastava,et al.  Emerging techniques for long lived wireless sensor networks , 2006, IEEE Communications Magazine.

[34]  Giuseppe Anastasi,et al.  Adaptive Sampling for Energy Conservation in Wireless Sensor Networks for Snow Monitoring Applications , 2007, 2007 IEEE Internatonal Conference on Mobile Adhoc and Sensor Systems.

[35]  Krishna V. Palem,et al.  Ultra-Efficient (Embedded) SOC Architectures based on Probabilistic CMOS (PCMOS) Technology , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[36]  David E. Culler,et al.  TinyOS: An Operating System for Sensor Networks , 2005, Ambient Intelligence.

[37]  Kaushik Roy,et al.  Process Variations and Process-Tolerant Design , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[38]  Rami G. Melhem,et al.  Optimal Reward-Based Scheduling for Periodic Real-Time Tasks , 2001, IEEE Trans. Computers.

[39]  David E. Culler,et al.  Design of a wireless sensor network platform for detecting rare, random, and ephemeral events , 2005, IPSN 2005. Fourth International Symposium on Information Processing in Sensor Networks, 2005..

[40]  Mani B. Srivastava,et al.  Quality Tradeoffs in Object Tracking with Duty-Cycled Sensor Networks , 2010, 2010 31st IEEE Real-Time Systems Symposium.

[41]  Giovani Gracioli,et al.  Power management in the EPOS system , 2008, OPSR.

[42]  Subhrajit Bhattacharya,et al.  Keeping hot chips cool , 2005, Proceedings. 42nd Design Automation Conference, 2005..