Sneak-Path Testing of Crossbar-Based Nonvolatile Random Access Memories

Emerging nonvolatile memory (NVM) technologies, such as resistive random access memories (RRAM) and phase-change memories (PCM), are an attractive option for future memory architectures due to their nonvolatility, high density, and low-power operation. Notwithstanding these advantages, they are prone to high defect densities due to the nondeterministic nature of the nanoscale fabrication. We examine the fault models and propose an efficient testing technique to test crossbar-based NVMs. The typical approach to testing memories entails testing one memory element at a time. This is time consuming and does not scale for the dense, RRAM or PCM-based memories. We propose a testing scheme based on “sneak-path sensing” to efficiently detect faults in the memory. The testing scheme uses sneak paths inherent in crossbar memories, to test multiple memory elements at the same time, thereby reducing testing time. We designed the design-for-test support necessary to control the number of sneak paths that are concurrently enabled; this helps control the power consumed during test. The proposed scheme enables and leverages sneak paths during test mode, while still maintaining a sneak path free crossbar during normal operation.

[1]  Hsien-Hsin S. Lee,et al.  SAFER: Stuck-At-Fault Error Recovery for Memories , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

[2]  Chris Yakopcic,et al.  Analysis of a memristor based 1T1M crossbar architecture , 2011, The 2011 International Joint Conference on Neural Networks.

[3]  X.Q. Wei,et al.  Universal HSPICE model for chalcogenide based phase change memory elements , 2004, Proceedings. 2004 IEEE Computational Systems Bioinformatics Conference.

[4]  Rainer Waser,et al.  Complementary resistive switches for passive nanocrossbar memories. , 2010, Nature materials.

[5]  Jean Michel Portal,et al.  Design and Test Challenges in Resistive Switching RAM (ReRAM): An Electrical Model for Defect Injections , 2009, 2009 14th IEEE European Test Symposium.

[6]  Sachhidh Kannan,et al.  Sneak-path Testing of Memristor-based Memories , 2013, 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.

[7]  Daniele Ielmini,et al.  Analytical model for subthreshold conduction and threshold switching in chalcogenide-based memory devices , 2007 .

[8]  Uri C. Weiser,et al.  TEAM: ThrEshold Adaptive Memristor Model , 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

[9]  Peng Li,et al.  Nonvolatile memristor memory: Device characteristics and design implications , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[10]  Mohammad Gh. Mohammad Fault model and test procedure for phase change memory , 2011, IET Comput. Digit. Tech..

[11]  Said Hamdioui,et al.  On Defect Oriented Testing for Hybrid CMOS/Memristor Memory , 2011, 2011 Asian Test Symposium.

[12]  Sung-Mo Kang,et al.  Analysis of Passive Memristive Devices Array: Data-Dependent Statistical Model and Self-Adaptable Sense Resistance for RRAMs , 2012, Proceedings of the IEEE.

[13]  Hyunjin Lee,et al.  Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[14]  G. Subramanyam,et al.  A Memristor Device Model , 2011, IEEE Electron Device Letters.

[15]  D. Ielmini,et al.  Reliability study of phase-change nonvolatile memories , 2004, IEEE Transactions on Device and Materials Reliability.

[16]  Wei Wang,et al.  Design considerations for variation tolerant multilevel CMOS/Nano memristor memory , 2010, GLSVLSI '10.

[17]  Stephen J. Wolf,et al.  The elusive memristor: properties of basic electrical circuits , 2008, 0807.3994.

[18]  H.-S. Philip Wong,et al.  Phase Change Memory , 2010, Proceedings of the IEEE.

[19]  I. Yoo,et al.  2-stack 1D-1R Cross-point Structure with Oxide Diodes as Switch Elements for High Density Resistance RAM Applications , 2007, 2007 IEEE International Electron Devices Meeting.

[20]  Karin Strauss,et al.  Use ECP, not ECC, for hard failures in resistive memories , 2010, ISCA.

[21]  Mircea R. Stan,et al.  Design and analysis of crossbar circuits for molecular nanoelectronics , 2002, Proceedings of the 2nd IEEE Conference on Nanotechnology.

[22]  Satar Mirzakuchaki,et al.  A Non-Linear , Ionic Drift , Spice Compatible Model for Memristors , 2022 .

[23]  Daniele Ielmini,et al.  Unified physical modeling of reliability mechanisms and scaling perspective of phase change memory , 2011 .

[24]  R. Williams,et al.  Exponential ionic drift: fast switching and low volatility of thin-film memristors , 2009 .

[25]  Said Hamdioui,et al.  DfT schemes for resistive open defects in RRAMs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[26]  Cong Xu,et al.  Design implications of memristor-based RRAM cross-point structures , 2011, 2011 Design, Automation & Test in Europe.

[27]  Seung-Yun Lee,et al.  A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme , 2007, 2007 IEEE International Symposium on Circuits and Systems.

[28]  Zaid Al-Ars,et al.  Functional memory faults: a formal notation and a taxonomy , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[29]  Yong-Bin Kim,et al.  A novel “divide and conquer” testing technique for memristor based lookup table , 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).

[30]  Cong Xu,et al.  Impact of process variations on emerging memristor , 2010, Design Automation Conference.

[31]  Fabrizio Lombardi,et al.  On the defect tolerance of nano-scale two-dimensional crossbars , 2004 .

[32]  Minsu Choi,et al.  Memristor lookup table (MLUT)-based asynchronous nanowire crossbar architecture , 2010, 10th IEEE International Conference on Nanotechnology.

[33]  Jeyavijayan Rajendran,et al.  Design Considerations for Multilevel CMOS/Nano Memristive Memory , 2012, JETC.

[34]  Ali A. Orouji,et al.  Phase Change Memory Faults , 2006, VLSI Design.

[35]  Mehdi Baradaran Tahoori,et al.  Multiple fault diagnosis in crossbar nano-architectures , 2010, 2010 15th IEEE European Test Symposium.

[36]  Jim Hutchby,et al.  Assessment of the Potential & Maturity of Selected Emerging Research Memory Technologies Workshop & ERD/ERM Working Group Meeting (April 6-7, 2010) , 2010 .

[37]  Vishwani D. Agrawal,et al.  Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits [Book Review] , 2000, IEEE Circuits and Devices Magazine.

[38]  Jeyavijayan Rajendran,et al.  An Approach to Tolerate Process Related Variations in Memristor-Based Applications , 2011, 2011 24th Internatioal Conference on VLSI Design.