Energy-efficient issue queue design
暂无分享,去创建一个
[1] Margaret Martonosi,et al. Dynamically exploiting narrow width operands to improve processor power and performance , 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.
[2] Gürhan Küçük,et al. A circuit-level implementation of fast, energy-efficient CMOS comparators for high-performance microprocessors , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[3] James E. Smith,et al. Complexity-Effective Superscalar Processors , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.
[4] Augustus K. Uht,et al. Disjoint eager execution: an optimal form of speculative execution , 1995, Proceedings of the 28th Annual International Symposium on Microarchitecture.
[5] Kanad Ghose. Reducing energy requirements for instruction issue and dispatch in superscalar microprocessors (poster session) , 2000, ISLPED '00.
[6] Manish Gupta,et al. Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors , 2000, IEEE Micro.
[7] Y. Nakagome,et al. Trends in low-power RAM circuit technologies , 1995 .
[8] Gürhan Küçük,et al. Energy: efficient instruction dispatch buffer design for superscalar processors , 2001, ISLPED '01.
[9] Todd M. Austin,et al. Efficient dynamic scheduling through tag elimination , 2002, ISCA.
[10] Gürhan Küçük,et al. Energy-Efficient Design of the Reorder Buffer , 2002, PATMOS.
[11] Wen-Tsong Shiue. Low power memory design , 2002, Proceedings IEEE International Conference on Application- Specific Systems, Architectures, and Processors.
[12] Antonio González,et al. Energy-effective issue logic , 2001, ISCA 2001.
[13] Gürhan Küçük,et al. Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources , 2001, MICRO.
[14] Ramon Canal,et al. A low-complexity issue logic , 2000, ICS '00.
[15] Krste Asanovic,et al. Dynamic zero compression for cache energy reduction , 2000, MICRO 33.
[16] Gürhan Küçük,et al. AccuPower: an accurate power estimation tool for superscalar microprocessors , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[17] Kanad Ghose,et al. EXPLOITING BIT–SLICE INACTIVITIES FOR REDUCING ENERGY REQUIREMENTS OF SUPERSCALAR PROCESSORS* , 1910 .
[18] James E. Smith,et al. Very low power pipelines using significance compression , 2000, MICRO 33.
[19] Todd M. Austin,et al. The SimpleScalar tool set, version 2.0 , 1997, CARN.
[20] K. Ghose. Reducing energy requirements for instruction issue and dispatch in superscalar microprocessors , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).
[21] Michael C. Huang,et al. Energy-efficient hybrid wakeup logic , 2002, ISLPED '02.
[22] David M. Brooks,et al. An Adaptive Issue Queue for Reduced Power at High Performance , 2000, PACS.
[23] Paul D. Franzon,et al. Energy consumption modeling and optimization for SRAM's , 1995, IEEE J. Solid State Circuits.
[24] Srilatha Manne,et al. Power and energy reduction via pipeline balancing , 2001, ISCA 2001.