Full Speed Ahead: Detailed Architectural Simulation at Near-Native Speed
暂无分享,去创建一个
Nikos Nikoleris | David Black-Schaffer | Erik Hagersten | Stefanos Kaxiras | Trevor E. Carlson | Andreas Sandberg | Erik Hagersten | D. Black-Schaffer | S. Kaxiras | Nikos Nikoleris | Andreas Sandberg
[1] Brad Calder,et al. Automatically characterizing large scale program behavior , 2002, ASPLOS X.
[2] Kim M. Hazelwood,et al. SuperPin: Parallelizing Dynamic Instrumentation for Real-Time Performance , 2007, International Symposium on Code Generation and Optimization (CGO'07).
[3] Thomas F. Wenisch,et al. SimFlex: Statistical Sampling of Computer System Simulation , 2006, IEEE Micro.
[4] Anoop Gupta,et al. Complete computer system simulation: the SimOS approach , 1995, IEEE Parallel Distributed Technol. Syst. Appl..
[5] Lieven Eeckhout,et al. Efficient Sampling Startup for SimPoint , 2006, IEEE Micro.
[6] Paolo Faraboschi,et al. Combining Simulation and Virtualization through Dynamic Sampling , 2007, 2007 IEEE International Symposium on Performance Analysis of Systems & Software.
[7] Dirk Grunwald,et al. Shadow Profiling: Hiding Instrumentation Costs with Parallelism , 2007, International Symposium on Code Generation and Optimization (CGO'07).
[8] Shunfei Chen,et al. MARSS: A full system simulator for multicore x86 CPUs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[9] James R. Larus,et al. The Wisconsin Wind Tunnel: virtual prototyping of parallel computers , 1993, SIGMETRICS '93.
[10] Milo M. K. Martin,et al. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset , 2005, CARN.
[11] Lieven Eeckhout,et al. Self-monitored adaptive cache warm-up for microprocessor simulation , 2004, 16th Symposium on Computer Architecture and High Performance Computing.
[12] Babak Falsafi,et al. ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs , 2009, TRETS.
[13] Mohamed F. Mokbel,et al. SARD: A statistical approach for ranking database tuning parameters , 2008, 2008 IEEE 24th International Conference on Data Engineering Workshop.
[14] George Kurian,et al. Graphite: A distributed parallel simulator for multicores , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[15] Shelley Chen. Direct SMARTS : Accelerating Microarchitectural Simulation Through Direct Execution , 2004 .
[16] Paolo Faraboschi,et al. COTSon: infrastructure for full system simulation , 2009, OPSR.
[17] Roland E. Wunderlich,et al. SMARTS: accelerating microarchitecture simulation via rigorous statistical sampling , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[18] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[19] R. M. Fujimoto,et al. Parallel discrete event simulation , 1989, WSC '89.
[20] Christoforos E. Kozyrakis,et al. ZSim: fast and accurate microarchitectural simulation of thousand-core systems , 2013, ISCA.
[21] Lieven Eeckhout,et al. VSim: Simulating multi-server setups at near native hardware speed , 2012, TACO.
[22] Thomas F. Wenisch,et al. TurboSMARTS: accurate microarchitecture simulation sampling in minutes , 2005, SIGMETRICS '05.
[23] Matt T. Yourst. PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator , 2007, 2007 IEEE International Symposium on Performance Analysis of Systems & Software.
[24] Harish Patil,et al. Pin: building customized program analysis tools with dynamic instrumentation , 2005, PLDI '05.
[25] A. Kivity,et al. kvm : the Linux Virtual Machine Monitor , 2007 .