Logic Design with Ambipolar Devices

In this part of the book, the work is based on a different technology from the previous chapters. Carbon nanotubes are another candidate for the extension of CMOS by replacing the silicon channel. The CNT technology also promises novel ways to design logic circuits by leveraging its ability to on-line control the device polarity. Despite the expected large performance enhancement, there are still many issues related to this immature technology to be resolved.

[1]  J. Rogers,et al.  High-performance electronics using dense, perfectly aligned arrays of single-walled carbon nanotubes. , 2007, Nature nanotechnology.

[2]  Nishant Patil,et al.  Design Guidelines for Metallic-Carbon-Nanotube-Tolerant Digital Logic Circuits , 2008, 2008 Design, Automation and Test in Europe.

[3]  Sheng Li,et al.  Design of a mask-programmable memory/multiplier array using G4-FET technology , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[4]  Ian O'Connor,et al.  Novel CNTFET-based Reconfigurable Logic Gate Design , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[5]  Robert K. Brayton,et al.  Whirlpool PLAs: a regular logic structure and their synthesis , 2002, ICCAD 2002.

[6]  H. Wong,et al.  Integrated wafer-scale growth and transfer of directional Carbon Nanotubes and misaligned-Carbon-Nanotube-immune logic structures , 2008, 2008 Symposium on VLSI Technology.

[7]  Shinobu Fujita,et al.  A 1 GHz integrated circuit with carbon nanotube interconnects and silicon transistors. , 2008, Nano letters.

[8]  P. Avouris,et al.  Carbon Nanotube Inter- and Intramolecular Logic Gates , 2001 .

[9]  Qiaoqin Yang,et al.  Growth mechanism and orientation control of well-aligned carbon nanotubes , 2003 .

[10]  H.-S. Philip Wong,et al.  Automated Design of Misaligned-Carbon-Nanotube-Immune Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[11]  Frédéric Gaffiot,et al.  CNTFET Modeling and Reconfigurable Logic-Circuit Design , 2007, IEEE Transactions on Circuits and Systems I: Regular Papers.

[12]  David Harris,et al.  CMOS VLSI Design: A Circuits and Systems Perspective , 2004 .

[13]  N. F. Goncalves,et al.  NORA: a racefree dynamic CMOS technique for pipelined logic structures , 1983 .

[14]  R Martel,et al.  Carbon nanotubes as schottky barrier transistors. , 2002, Physical review letters.

[15]  Giovanni De Micheli,et al.  Novel library of logic gates with ambipolar CNTFETs: Opportunities for multi-level logic synthesis , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[16]  M. Lundstrom,et al.  Self-Aligned Ballistic Molecular Transistors and Electrically Parallel Nanotube Arrays , 2004, cond-mat/0406494.

[17]  Nishant Patil,et al.  Carbon Nanotube circuits in the presence of carbon nanotube density variations , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[18]  Jing Guo,et al.  Technology exploration for graphene nanoribbon FETs , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[19]  Yusuf Leblebici,et al.  Programmable logic circuits based on ambipolar CNFET , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[20]  Malgorzata Marek-Sadowska,et al.  Designing via-configurable logic blocks for regular fabric , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[21]  Y. Leblebici,et al.  Fault-tolerant PLA-style circuit design for failure-prone nanometer CMOS and quantum device technologies , 2004, 2004 IEEE International Joint Conference on Neural Networks (IEEE Cat. No.04CH37541).

[22]  Brian Taylor,et al.  Automated Testability Enhancements for Logic Brick Libraries , 2008, 2008 Design, Automation and Test in Europe.

[23]  H.-S. Philip Wong,et al.  Carbon Nanotube Transistor Circuits: Circuit-Level Performance Benchmarking and Design Options for Living with Imperfections , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[24]  Tsutomu Sasao EXMIN2: a simplification algorithm for exclusive-OR-sum-of-products expressions for multiple-valued-input two-valued-output functions , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[25]  H. Dai,et al.  Selective Etching of Metallic Carbon Nanotubes by Gas-Phase Reaction , 2006, Science.

[26]  P. Avouris,et al.  Novel carbon nanotube FET design with tunable polarity , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[27]  M. Dresselhaus,et al.  Carbon nanotubes : synthesis, structure, properties, and applications , 2001 .

[28]  C. Dekker,et al.  Logic Circuits with Carbon Nanotube Transistors , 2001, Science.

[29]  Andrzej J. Strojwas,et al.  Design methodology for IC manufacturability based on regular logic-bricks , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[30]  Tsutomu Sasao,et al.  Input Variable Assignment and Output Phase Optimization of PLA's , 1984, IEEE Transactions on Computers.

[31]  H.-S. Philip Wong,et al.  Design Methods for Misaligned and Mispositioned Carbon-Nanotube Immune Circuits , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[32]  J. Knoch,et al.  High-performance carbon nanotube field-effect transistor with tunable polarities , 2005, IEEE Transactions on Nanotechnology.

[33]  I. O'Connor,et al.  CNTFET-based logic circuit design , 2006, International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 2006. DTIS 2006..

[34]  Mark S. Lundstrom,et al.  A numerical study of scaling issues for Schottky-barrier carbon nanotube transistors , 2003, IEEE Transactions on Electron Devices.