Mixed-precision architecture based on computational memory for training deep neural networks

Deep neural networks (DNN) have revolutionized the field of machine learning by providing unprecedented human-like performance in solving many real-world problems such as image or speech recognition. Training of large DNNs, however, is a computationally intensive task, and this necessitates the development of novel computing architectures targeting this application. A computational memory unit where resistive memory devices are organized in crossbar arrays can be used to store the synaptic weights in their conductance states. The expensive multiply accumulate operations can be performed in place using Kirchhoff's circuit laws in a non-von Neumann manner. However, a key challenge remains the inability to alter the conductance states of the devices in a reliable manner during the weight update process. We propose a mixed-precision architecture that combines a computational memory unit storing the synaptic weights with a digital processing unit and an additional memory unit that stores the accumulated weight updates in high precision. The new architecture delivers classification accuracies comparable to those of floating-point implementations without being constrained by challenges associated with the non-ideal weight update characteristics of emerging resistive memories. The computational memory unit in a two layer neural network realized using nonlinear stochastic models of phase-change memory achieves a test accuracy of 97.40% in the MNIST digit classification problem.

[1]  Olivier Bichler,et al.  Phase change memory as synapse for ultra-dense neuromorphic systems: Application to complex visual pattern extraction , 2011, 2011 International Electron Devices Meeting.

[2]  Yoshua Bengio,et al.  BinaryConnect: Training Deep Neural Networks with binary weights during propagations , 2015, NIPS.

[3]  Gökmen Tayfun,et al.  Acceleration of Deep Neural Network Training with Resistive Cross-Point Devices: Design Considerations , 2016, Front. Neurosci..

[4]  Haralampos Pozidis,et al.  Recent Progress in Phase-Change Memory Technology , 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[5]  Yusuf Leblebici,et al.  Unsupervised Learning Using Phase-Change Synapses and Complementary Patterns , 2017, ICANN.

[6]  Giacomo Indiveri,et al.  Rounding Methods for Neural Networks with Low Resolution Synaptic Weights , 2015, ArXiv.

[7]  Farnood Merrikh-Bayat,et al.  Efficient training algorithms for neural networks based on memristive crossbar circuits , 2015, 2015 International Joint Conference on Neural Networks (IJCNN).

[8]  A. Sebastian,et al.  Compressed sensing recovery using computational memory , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[9]  Haralampos Pozidis,et al.  Multilevel phase-change memory , 2010, 2010 17th IEEE International Conference on Electronics, Circuits and Systems.

[10]  Pritish Narayanan,et al.  Neuromorphic computing using non-volatile memory , 2017 .

[11]  Pritish Narayanan,et al.  Deep Learning with Limited Numerical Precision , 2015, ICML.

[12]  Leon O. Chua Resistance switching memories are memristors , 2011 .

[13]  Pritish Narayanan,et al.  Experimental Demonstration and Tolerancing of a Large-Scale Neural Network (165 000 Synapses) Using Phase-Change Memory as the Synaptic Weight Element , 2014, IEEE Transactions on Electron Devices.

[14]  Manuel Le Gallo,et al.  Stochastic phase-change neurons. , 2016, Nature nanotechnology.

[15]  B. Rajendran,et al.  Supervised learning in spiking neural networks with MLC PCM synapses , 2017, 2017 75th Annual Device Research Conference (DRC).

[16]  Guigang Zhang,et al.  Deep Learning , 2016, Int. J. Semantic Comput..

[17]  Dharmendra S. Modha,et al.  Deep neural networks are robust to weight binarization and other non-linear distortions , 2016, ArXiv.

[18]  Catherine Graves,et al.  Dot-product engine for neuromorphic computing: Programming 1T1M crossbar to accelerate matrix-vector multiplication , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[19]  Thomas P. Parnell,et al.  Temporal correlation detection using computational phase-change memory , 2017, Nature Communications.

[20]  Evangelos Eleftheriou,et al.  Projected phase-change memory devices , 2015, Nature Communications.

[21]  Yusuf Leblebici,et al.  Neuromorphic computing with multi-memristive synapses , 2017, Nature Communications.

[22]  Daniel Krebs,et al.  Crystal growth within a phase change memory cell , 2014, Nature Communications.

[23]  Yusuf Leblebici,et al.  Stochastic weight updates in phase-change memory-based synapses and their influence on artificial neural networks , 2017, 2017 13th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME).

[24]  H-S Philip Wong,et al.  Memory leads the way to better computing. , 2015, Nature nanotechnology.

[25]  Evangelos Eleftheriou,et al.  Detecting Correlations Using Phase-Change Neurons and Synapses , 2016, IEEE Electron Device Letters.

[26]  Wei D. Lu,et al.  Sparse coding with memristor networks. , 2017, Nature nanotechnology.

[27]  Abu Sebastian,et al.  Accumulation-Based Computing Using Phase-Change Memories With FET Access Devices , 2015, IEEE Electron Device Letters.

[28]  Heiner Giefers,et al.  Mixed-precision in-memory computing , 2017, Nature Electronics.

[29]  D. Ielmini,et al.  Distributed-Poole-Frenkel modeling of anomalous resistance scaling and fluctuations in phase-change memory (PCM) devices , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).