Prefabrication and postfabrication architecture exploration for partially reconfigurable VLIW processors
暂无分享,去创建一个
Kingshuk Karuri | Rainer Leupers | Gerd Ascheid | Heinrich Meyr | Xiaolin Chen | Anupam Chattopadhyay | Harold Ishebabi | David Kammler | Zoltán Endre Rákossy
[1] Alexandru Nicolau,et al. EXPRESSION: An ADL for system level design exploration , 1998 .
[2] Jason Cong,et al. Optimal simultaneous mapping and clustering for FPGA delay optimization , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[3] Paolo Ienne,et al. Automatic application-specific instruction-set extensions under microarchitectural constraints , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[4] Rudy Lauwereins,et al. DRESC: a retargetable compiler for coarse-grained reconfigurable architectures , 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..
[5] Carl Ebeling,et al. Architecture-adaptive routability-driven placement for FPGAs , 2005, International Conference on Field Programmable Logic and Applications, 2005..
[6] Claudio Mucci,et al. A cycle-accurate ISS for a dynamically reconfigurable processor architecture , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.
[7] Reiner W. Hartenstein,et al. A decade of reconfigurable computing: a visionary retrospective , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.
[8] Luciano Lavagno,et al. A software development tool chain for a reconfigurable processor , 2001, CASES '01.
[9] Scott Hauck,et al. Reconfigurable computing: a survey of systems and software , 2002, CSUR.
[10] Carl Ebeling,et al. Architecture Adaptive Routability-Driven Placement for FPGAs (abstract only) , 2005, FPGA '05.
[11] Michael D. Smith,et al. A high-performance microarchitecture with hardware-programmable functional units , 1994, Proceedings of MICRO-27. The 27th Annual IEEE/ACM International Symposium on Microarchitecture.
[12] N. Bansal,et al. Analysis of the Performance of Coarse-Grain Reconfigurable Architectures with Different Processing Element Configurations , 2003 .
[13] Sartaj Sahni,et al. The Complexity of Design Automation Problems , 1980, 17th Design Automation Conference.
[14] Holger Blume,et al. Quantitative Analysis of Embedded FPGA-Architectures for Arithmetic , 2006, IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06).
[15] Thierry Lemeunier,et al. Transistor abstraction for the functional verification of FPGAs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[16] Robert K. Brayton,et al. On clustering for minimum delay/ara , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.
[17] Rudy Lauwereins,et al. CRISP: A Template for Reconfigurable Instruction Set Processors , 2001, FPL.
[18] Brent E. Nelson,et al. Reconfigurable Processors for High-Performance, Embedded Digital Signal Processing , 1999, FPL.
[19] Rudy Lauwereins,et al. Reconfigurable Instruction Set Processors from a Hardware/Software Perspective , 2002, IEEE Trans. Software Eng..
[20] Eduardo Sanchez,et al. Spyder: A SURE (SUperscalar and REconfigurable) processor , 1995, The Journal of Supercomputing.
[21] Harvey F. Silverman,et al. Processor reconfiguration through instruction-set metamorphosis , 1993, Computer.
[22] Holger Blume,et al. Modelling and Quantitative Analysis of Coupling Mechanisms of Programmable Processor Cores and Arithmetic Oriented eFPGA Macros , 2006, 2006 IEEE International Conference on Reconfigurable Computing and FPGA's (ReConFig 2006).
[23] Heinrich Meyr,et al. A novel methodology for the design of application-specificinstruction-set processors (ASIPs) using a machine description language , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[24] Stamatis Vassiliadis,et al. The MOLEN polymorphic processor , 2004, IEEE Transactions on Computers.
[25] Rajesh Gupta,et al. Network topology exploration of mesh-based coarse-grain reconfigurable architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[26] Roberto Guerrieri,et al. A VLIW processor with reconfigurable instruction set for embedded applications , 2003 .
[27] Nikil D. Dutt,et al. Introduction of local memory elements in instruction set extensions , 2004, Proceedings. 41st Design Automation Conference, 2004..
[28] H. Meyr,et al. A framework for automated and optimized ASIP implementation supporting multiple hardware description languages , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[29] Carl Ebeling,et al. PathFinder: A Negotiation-Based Performance-Driven Router for FPGAs , 1995, Third International ACM Symposium on Field-Programmable Gate Arrays.
[30] Michalis D. Galanis,et al. A unified evaluation framework for coarse grained reconfigurable array architectures , 2007, CF '07.
[31] Nikil D. Dutt,et al. ISEGEN: an iterative improvement-based ISE generation technique for fast customization of processors , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[32] Kingshuk Karuri,et al. A design flow for configurable embedded processors based on optimized instruction set extension synthesis , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[33] Markus Freericks,et al. Describing instruction set processors using nML , 1995, Proceedings the European Design and Test Conference. ED&TC 1995.
[34] A. Lodi,et al. A VLIW processor with reconfigurable instruction set for embedded applications , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[35] Rudy Lauwereins,et al. Architecture exploration for a reconfigurable architecture template , 2005, IEEE Design & Test of Computers.
[36] Rudy Lauwereins,et al. Design methodology for a tightly coupled VLIW/reconfigurable matrix architecture: a case study , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[37] Rainer Leupers,et al. Architecture exploration for embedded processors with LISA , 2002 .
[38] Russell Tessier,et al. c ○ 2001 Kluwer Academic Publishers. Manufactured in The Netherlands. Reconfigurable Computing for Digital Signal Processing: A Survey ∗ , 1999 .