Effect of process variations in 3D global clock distribution networks
暂无分享,去创建一个
[1] Rajendran Panda,et al. A timing methodology considering within-die clock skew variations , 2008, 2008 IEEE International SOC Conference.
[2] Eby G. Friedman,et al. Interconnect-Based Design Methodologies for Three-Dimensional Integrated Circuits , 2009, Proceedings of the IEEE.
[3] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[4] Keith A. Bowman,et al. Impact of Die-to-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[5] W. Dehaene,et al. Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs , 2010, IEEE Transactions on Electron Devices.
[6] Filipp Akopyan,et al. Variability in 3-D integrated circuits , 2008, 2008 IEEE Custom Integrated Circuits Conference.
[7] Payman Zarkesh-Ha,et al. Impact of three-dimensional architectures on interconnects in gigascale integration , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[8] Xin Zhao,et al. Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[9] Shyh-Chyi Wong,et al. Modeling of interconnect capacitance, delay, and crosstalk in VLSI , 2000 .
[10] Jason Cong,et al. Bounded-skew clock and Steiner routing , 1998, TODE.
[11] V. Zolotov,et al. Statistical clock skew analysis considering intradie-process variations , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[12] Eby G. Friedman,et al. Electrical modeling and characterization of 3-D vias , 2008, 2008 IEEE International Symposium on Circuits and Systems.
[13] Yoshiaki Toyoshima,et al. Methodology of MOSFET characteristics fluctuation description using BSIM3v3 SPICE model for statistical circuit simulations , 1998, IWSM. 1998 3rd International Workshop on Statistical Metrology (Cat. No.98EX113).
[14] Payman Zarkesh-Ha,et al. Global interconnect design in a three-dimensional system-on-a-chip , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[15] Masanori Hashimoto,et al. Statistical analysis of clock skew variation in H-tree structure , 2005, Sixth international symposium on quality electronic design (isqed'05).
[16] Kwang-Ting Cheng,et al. Fast statistical timing analysis by probabilistic event propagation , 2001, DAC '01.
[17] W. C. Elmore. The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .
[18] Eby G. Friedman,et al. Clock distribution networks for 3-D ictegrated Circuits , 2008, 2008 IEEE Custom Integrated Circuits Conference.
[19] S. Naffziger,et al. Statistical clock skew modeling with data delay variations , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[20] K.A. Jenkins,et al. The clock distribution of the Power4 microprocessor , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[21] Eby G. Friedman,et al. Three-dimensional Integrated Circuit Design , 2008 .
[22] Giovanni De Micheli,et al. Process-induced skew variation for scaled 2-D and 3-D ICs , 2010, SLIP '10.
[23] Chandramouli V. Kashyap,et al. Block-based Static Timing Analysis with Uncertainty , 2003, ICCAD.
[24] P. Zarkesh-Ha,et al. Characterization and modeling of clock skew with process variations , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).
[25] Siddharth Garg,et al. 3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs , 2009, 2009 10th International Symposium on Quality Electronic Design.
[26] Wayne P. Burleson,et al. Low-power clock distribution in a multilayer core 3d microprocessor , 2008, GLSVLSI '08.
[27] S. Muthukumar,et al. Fabrication and electrical characterization of 3D vertical interconnects , 2006, 56th Electronic Components and Technology Conference 2006.
[28] Carlo Guardiani,et al. Impact analysis of process variability on clock skew , 2002, Proceedings International Symposium on Quality Electronic Design.
[29] Steven M. Nowick,et al. ACM Journal on Emerging Technologies in Computing Systems , 2010, TODE.
[30] Taewhan Kim,et al. Clock tree embedding for 3D ICs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[31] Siddharth Garg,et al. System-level process variability analysis and mitigation for 3D MPSoCs , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[32] Chandramouli V. Kashyap,et al. Block-based static timing analysis with uncertainty , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
[33] Narayanan Vijaykrishnan,et al. Thermally Robust Clocking Schemes for 3D Integrated Circuits , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[34] Xiaohong Jiang,et al. Statistical skew modeling for general clock distribution networks in presence of process variations , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[35] Sachin S. Sapatnekar,et al. Statistical timing analysis under spatial correlations , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[36] Kurt Keutzer,et al. Impact of systematic spatial intra-chip gate length variability on performance of high-speed digital circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[37] Sherief Reda,et al. Reducing the leakage and timing variability of 2D ICs using 3D ICs , 2009, ISLPED.
[38] S. Nassif,et al. Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[39] Greg Link,et al. Thermally robust clocking schemes for 3D integrated circuits , 2007 .
[40] Jae-Seok Yang,et al. Robust Clock Tree Synthesis with timing yield optimization for 3D-ICs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[41] David Blaauw,et al. Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations , 2003, ICCAD 2003.
[42] Eby G. Friedman,et al. Clock distribution networks in synchronous digital integrated circuits , 2001, Proc. IEEE.
[43] Majid Sarrafzadeh,et al. Minimal buffer insertion in clock trees with skew and slew rate constraints , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..