Scalable high performance main memory system using phase-change memory technology

The memory subsystem accounts for a significant cost and power budget of a computer system. Current DRAM-based main memory systems are starting to hit the power and cost limit. An alternative memory technology that uses resistance contrast in phase-change materials is being actively investigated in the circuits community. Phase Change Memory (PCM) devices offer more density relative to DRAM, and can help increase main memory capacity of future systems while remaining within the cost and power constraints. In this paper, we analyze a PCM-based hybrid main memory system using an architecture level model of PCM.We explore the trade-offs for a main memory system consisting of PCMstorage coupled with a small DRAM buffer. Such an architecture has the latency benefits of DRAM and the capacity benefits of PCM. Our evaluations for a baseline system of 16-cores with 8GB DRAM show that, on average, PCM can reduce page faults by 5X and provide a speedup of 3X. As PCM is projected to have limited write endurance, we also propose simple organizational and management solutions of the hybrid memory that reduces the write traffic to PCM, boosting its lifetime from 3 years to 9.7 years.

[1]  Per Stenström,et al.  A cost-effective main memory organization for future servers , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

[2]  Trevor N. Mudge,et al.  Improving NAND Flash Based Disk Caches , 2008, 2008 International Symposium on Computer Architecture.

[3]  Winfried W. Wilcke,et al.  Storage-class memory: The next storage system technology , 2008, IBM J. Res. Dev..

[4]  Sanjay S. Talreja,et al.  A 50nm 8Gb NAND Flash Memory with 100MB/s Program Throughput and 200MB/s DDR Interface , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[5]  N. Yamada,et al.  Rapid‐phase transitions of GeTe‐Sb2Te3 pseudobinary amorphous thin films for an optical disk memory , 1991 .

[6]  Mark A. Taylor,et al.  A 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[7]  Shih-Hung Chen,et al.  Phase-change random access memory: A scalable technology , 2008, IBM J. Res. Dev..

[8]  S. Ovshinsky Reversible Electrical Switching Phenomena in Disordered Structures , 1968 .

[9]  Ferdinando Bedeschi,et al.  A Multi-Level-Cell Bipolar-Selected Phase-Change Memory , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[10]  Dong Ye,et al.  Prototyping a hybrid main memory using a virtual machine monitor , 2008, 2008 IEEE International Conference on Computer Design.

[11]  裕幸 飯田,et al.  International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .

[12]  R. T. Phillips,et al.  STRUCTURE OF THE OPTICAL PHASE CHANGE MEMORY ALLOY, AG-V-IN-SB-TE, DETERMINED BY OPTICAL SPECTROSCOPY AND ELECTRON DIFFRACTION , 1997 .

[13]  Chang-Gyu Hwang,et al.  Semiconductor memories for IT era , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[14]  Edward Grochowski,et al.  Technological impact of magnetic hard disk drives on storage systems , 2003, IBM Syst. J..

[15]  Narayanan Vijaykrishnan,et al.  A low-power phase change memory based hybrid cache architecture , 2008, GLSVLSI '08.

[16]  Philip Machanick The case for SRAM main memory , 1996, CARN.

[17]  Per Stenström,et al.  A case for multi-level main memory , 2004, WMPI '04.

[18]  Hye-Jin Kim,et al.  A 90nm 1.8V 512Mb Diode-Switch PRAM with 266MB/s Read Throughput , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[19]  Karthick Rajamani,et al.  Energy Management for Commercial Servers , 2003, Computer.