A Cluster-Based Distributed Active Current Sensing Circuit for Hardware Trojan Detection
暂无分享,去创建一个
[1] Miodrag Potkonjak,et al. Hardware Trojan horse benchmark via optimal creation and placement of malicious circuitry , 2012, DAC Design Automation Conference 2012.
[2] Miodrag Potkonjak,et al. Integrated circuit security techniques using variable supply voltage , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[3] Mark Mohammad Tehranipoor,et al. Detecting malicious inclusions in secure hardware: Challenges and solutions , 2008, 2008 IEEE International Workshop on Hardware-Oriented Security and Trust.
[4] Swarup Bhunia,et al. TeSR: A robust Temporal Self-Referencing approach for Hardware Trojan detection , 2011, 2011 IEEE International Symposium on Hardware-Oriented Security and Trust.
[5] Miodrag Potkonjak,et al. Scalable consistency-based hardware trojan detection and diagnosis , 2011, 2011 5th International Conference on Network and System Security.
[6] Mark Mohammad Tehranipoor,et al. An Experimental Analysis of Power and Delay Signal-to-Noise Requirements for Detecting Trojans and Methods for Achieving the Required Detection Sensitivities , 2011, IEEE Transactions on Information Forensics and Security.
[7] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[8] Steven J. E. Wilton,et al. An FPGA architecture supporting dynamically controlled power gating , 2010, 2010 International Conference on Field-Programmable Technology.
[9] H. Traff,et al. Novel approach to high speed CMOS current comparators , 1992 .
[10] Kaushik Roy,et al. Power reduction in test-per-scan BIST with supply gating and efficient scan partitioning , 2005, Sixth international symposium on quality electronic design (isqed'05).
[11] Farinaz Koushanfar,et al. A Survey of Hardware Trojan Taxonomy and Detection , 2010, IEEE Design & Test of Computers.
[12] Jie Li,et al. At-speed delay characterization for IC authentication and Trojan Horse detection , 2008, 2008 IEEE International Workshop on Hardware-Oriented Security and Trust.
[13] Yiorgos Makris,et al. Hardware Trojan detection using path delay fingerprint , 2008, 2008 IEEE International Workshop on Hardware-Oriented Security and Trust.
[14] Massoud Pedram,et al. Coarse-Grain MTCMOS Sleep Transistor Sizing Using Delay Budgeting , 2008, 2008 Design, Automation and Test in Europe.
[15] Dilip Kumar Krishnappa,et al. Design and Validation of Arbiter-Based PUFs for Sub-45-nm Low-Power Security Applications , 2012, IEEE Transactions on Information Forensics and Security.
[16] Yiorgos Makris,et al. Experiences in Hardware Trojan design and implementation , 2009, 2009 IEEE International Workshop on Hardware-Oriented Security and Trust.
[17] Edward J. McCluskey,et al. Launch-on-Shift-Capture Transition Tests , 2008, 2008 IEEE International Test Conference.
[18] M. Margala,et al. 1.5 volts Iddq/Iddt current monitor , 1999, Engineering Solutions for the Next Millennium. 1999 IEEE Canadian Conference on Electrical and Computer Engineering (Cat. No.99TH8411).
[19] Sandeep K. Gupta,et al. Efficient Trojan Detection via Calibration of Process Variations , 2012, 2012 IEEE 21st Asian Test Symposium.
[20] Mark Mohammad Tehranipoor,et al. Layout-Aware Switching Activity Localization to Enhance Hardware Trojan Detection , 2012, IEEE Transactions on Information Forensics and Security.
[21] Mohamed I. Elmasry,et al. Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique , 2002, DAC '02.
[22] Farinaz Koushanfar,et al. High-sensitivity hardware Trojan detection using multimodal characterization , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[23] Hongwei Luo,et al. Design of hardware trojan horse based on counter , 2011, 2011 International Conference on Quality, Reliability, Risk, Maintenance, and Safety Engineering.
[24] Michael S. Hsiao,et al. A region based approach for the identification of hardware Trojans , 2008, 2008 IEEE International Workshop on Hardware-Oriented Security and Trust.
[25] Lee Whetsel,et al. Adapting scan architectures for low power operation , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[26] M. Swaminathan,et al. A digitally adjustable resistor for path delay characterization in high-frequency microprocessors , 2001, 2001 Southwest Symposium on Mixed-Signal Design (Cat. No.01EX475).
[27] Miodrag Potkonjak,et al. This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Scalable Hardware Trojan Diagnosis , 2022 .
[28] Chip-Hong Chang,et al. Cluster-based distributed active current timer for hardware Trojan detection , 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).
[29] Sandeep K. Gupta,et al. Trojan detection via delay measurements: A new approach to select paths and vectors to maximize effectiveness and minimize cost , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[30] Berk Sunar,et al. Trojan Detection using IC Fingerprinting , 2007, 2007 IEEE Symposium on Security and Privacy (SP '07).
[31] Patrick Schaumont,et al. Design methods for Security and Trust , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[32] Mark Mohammad Tehranipoor,et al. A Sensitivity Analysis of Power Signal Methods for Detecting Hardware Trojans Under Real Process and Environmental Conditions , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[33] John Lach,et al. Performance of delay-based Trojan detection techniques under parameter variations , 2009, 2009 IEEE International Workshop on Hardware-Oriented Security and Trust.
[34] Miodrag Potkonjak,et al. Scalable segmentation-based malicious circuitry detection and diagnosis , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[35] Yier Jin,et al. Real-time trust evaluation in integrated circuits , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[36] Azadeh Davoodi,et al. A sensor-assisted self-authentication framework for hardware trojan detection , 2012, DATE 2012.