MSc THESIS On-line Testing of Routers in Networks-on-Chips

Faculty of Electrical Engineering, Mathematics and Computer Science CE-MS-2009-18 Due to recent progress in semiconductor technology, communication is becoming the major source of expense in today’s chip design. Network-on-Chip (NoC) is a new paradigm for solving the problem of complex communication on the chips. However, in order to NoC to be efficient in providing complex on-chip communication, the designers should be assured about its correct functionality. For this reason, an efficient test method should be developed for testing the NoC. In order to test the NoC in an efficient way, the test method should be in a way that does not degrade the performance of the NoC (with online test). Moreover it should test the NoC with a high fault coverage (structural test). In this thesis, a new platform is proposed to do online-structural test on NoC. Although NoC’s elements has been tested after manufacturing and before being used in a SoC, but when NoC is being used, after some time there is possibility that some errors occur in the elements (e.g. router) of NoC and ruin their functionality. The proposed platform, helps the system developers with online detection and localization of the errors that may occur in routers. Therefore, it increases NoC’s reliability. In this platform, the idle routers of NoC are being tested while the rest of the routers are providing on-chip communication. For testing the router the standard test architecture for testing embedded cores (Test-Access-Mechanism (TAM), wrapper, source and sink)is used. In this architecture it is assumed that source and sink are given. The main contribution of this project is to design the wrapper element in such a way that it can be used in the proposed onlinestructural test platform and reuse NoC as TAM. The limitation of the proposed method is that the number of routers that can be tested at the same time without interrupting NoC’s normal functionality depends on topology and size of the NoC. The major cost of this test methods, is related to the wrapper architecture. On-line Testing of Routers in Networks-on-Chips

[1]  Luigi Carro,et al.  Power-aware noc reuse on the testing of core-based systems , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[2]  Raimund Ubar,et al.  Off-Line Testing of Delay Faults in NoC Interconnects , 2006, 9th EUROMICRO Conference on Digital System Design (DSD'06).

[3]  Sujit Dey,et al.  Fault modeling and simulation for crosstalk in system-on-chip interconnects , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[4]  C. P. Ravikumar,et al.  Testing interconnects in a system chip , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

[5]  Luigi Carro,et al.  Reusing an on-chip network for the test of core-based systems , 2004, TODE.

[6]  Shashi Kumar,et al.  On Packet Switched Networks for On-Chip Communication , 2003, Networks on Chip.

[7]  Luca Benini,et al.  Analysis of error recovery schemes for networks on chips , 2005, IEEE Design & Test of Computers.

[8]  F. Caignet,et al.  The challenge of signal integrity in deep-submicrometer CMOS technology , 2001, Proc. IEEE.

[9]  A. Jutman,et al.  New Built-In Self-Test Scheme for SoC Interconnect , 2005 .

[10]  Sujit Dey,et al.  Double sampling data checking technique: an online testing solution for multisource noise-induced errors on on-chip interconnects and buses , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Alexandre M. Amory,et al.  Reducing test time with processor reuse in network-on-chip based systems , 2004, Proceedings. SBCCI 2004. 17th Symposium on Integrated Circuits and Systems Design (IEEE Cat. No.04TH8784).

[12]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[13]  Guy Lemieux,et al.  A Survey and Taxonomy of GALS Design Styles , 2007, IEEE Design & Test of Computers.

[14]  Partha Pratim Pande,et al.  BIST for network-on-chip interconnect infrastructures , 2006, 24th IEEE VLSI Test Symposium.

[15]  Zainalabedin Navabi,et al.  A concurrent testing method for NoC switches , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[16]  Robert Baumann,et al.  Soft errors in advanced computer systems , 2005, IEEE Design & Test of Computers.

[17]  Peter C. Maxwell,et al.  Comparing functional and structural tests , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[18]  Chita R. Das,et al.  A hybrid SoC interconnect with dynamic TDMA-based transaction-less buses and on-chip networks , 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

[19]  Luigi Carro,et al.  Crosstalk- and SEU-Aware Networks on Chips , 2007, IEEE Design & Test of Computers.

[20]  Yervant Zorian,et al.  Testing embedded-core based system chips , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[21]  Ulf Pillkahn,et al.  Structural test in a board self test environment , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[22]  Giovanni De Micheli,et al.  Design, synthesis, and test of networks on chips , 2005, IEEE Design & Test of Computers.

[23]  Petru Eles,et al.  Optimization of a bus-based test data transportation mechanism in system-on-chip , 2005, 8th Euromicro Conference on Digital System Design (DSD'05).

[24]  Chouki Aktouf,et al.  A complete strategy for testing an on-chip multiprocessor architecture , 2002, IEEE Design & Test of Computers.

[25]  Kees G. W. Goossens,et al.  An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[26]  Luca Benini,et al.  Network-on-chip architectures and design methods , 2005 .

[27]  Partha Pratim Pande,et al.  On-line fault detection and location for NoC interconnects , 2006, 12th IEEE International On-Line Testing Symposium (IOLTS'06).

[28]  Partha Pratim Pande,et al.  Methodologies and algorithms for testing switch-based NoC interconnects , 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).

[29]  Erik Jan Marinissen,et al.  Test Wrapper and Test Access Mechanism Co-Optimization for System-on-Chip , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[30]  Alberto L. Sangiovanni-Vincentelli,et al.  Addressing the system-on-a-chip interconnect woes through communication-based design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[31]  Partha Pratim Pande,et al.  Design of Low power & Reliable Networks on Chip through joint crosstalk avoidance and forward error correction coding , 2006, 2006 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

[32]  Kees G. W. Goossens,et al.  Transaction Monitoring in Networks on Chip: The On-Chip Run-Time Perspective , 2006, 2006 International Symposium on Industrial Embedded Systems.

[33]  Vincent Beroulle,et al.  A Design-for-Test Implementation of an Asynchronous Network-on-Chip Architecture and its Associated Test Pattern Generation and Application , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

[34]  Raimund Ubar,et al.  Testing Strategies for Networks on Chip , 2003, Networks on Chip.

[35]  Sujit Dey,et al.  An Interconnect Architecture for Networking Systems on Chips , 2002, IEEE Micro.

[36]  Desmond P. Taylor,et al.  OSI Reference Model - The ISO Model of Architecture for Open Systems Interconnection , 2007 .

[37]  Erik Jan Marinissen,et al.  SOC test architecture design for efficient utilization of test bandwidth , 2003, TODE.

[38]  Partha Pratim Pande,et al.  Timing analysis of network on chip architectures for MP-SoC platforms , 2005, Microelectron. J..

[39]  Luca Benini,et al.  Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.

[40]  J. C. Chan An improved technique for circuit board interconnect test , 1992 .

[41]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[42]  L. Benini,et al.  Xpipes: a network-on-chip architecture for gigascale systems-on-chip , 2004, IEEE Circuits and Systems Magazine.

[43]  Burnell G. West,et al.  At-speed structural test , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[44]  Nur A. Touba,et al.  System-on-Chip Test Architectures , 2008 .

[45]  Kees G. W. Goossens,et al.  Bringing communication networks on a chip: test and verification implications , 2003, IEEE Commun. Mag..

[46]  J. Koeter,et al.  Interconnect testing using BIST embedded in IEEE 1149.1 designs , 1991, [1991] Proceedings Fourth Annual IEEE International ASIC Conference and Exhibit.

[47]  Benoit Nadeau-Dostie,et al.  BIST of PCB interconnects using boundary-scan architecture , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[48]  Sujit Dey,et al.  LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[49]  Chauchin Su,et al.  All digital built-in delay and crosstalk measurement for on-chip buses , 2000, DATE '00.

[50]  Kees Goossens,et al.  AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.

[51]  Yervant Zorian,et al.  On IEEE P1500's Standard for Embedded Core Test , 2002, J. Electron. Test..

[52]  Mehrdad Nourani,et al.  Testing interconnects for noise and skew in gigahertz SoCs , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[53]  Luigi Carro,et al.  Evaluation of SEU and crosstalk effects in network-on-chip switches , 2006, SBCCI '06.

[54]  Sujit Dey,et al.  High-level crosstalk defect Simulation methodology for system-on-chip interconnects , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[55]  Vishwani D. Agrawal,et al.  Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits [Book Review] , 2000, IEEE Circuits and Devices Magazine.

[56]  M. Nicolaidis,et al.  Design for soft error mitigation , 2005, IEEE Transactions on Device and Materials Reliability.

[57]  Abhijit Chatterjee,et al.  Switching activity generation with automated BIST synthesis forperformance testing of interconnects , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[58]  Dhiraj K. Pradhan,et al.  Reuse-based test access and integrated test scheduling for network-on-chip , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[59]  CarroLuigi,et al.  Reusing an on-chip network for the test of core-based systems , 2004 .

[60]  Chia-Chih Yen,et al.  On compliance test of on-chip bus for SOC , 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

[61]  Yuejian Wu,et al.  Testing ASICs with multiple identical cores , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[62]  Jens Sparsø,et al.  A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip , 2005, Design, Automation and Test in Europe.

[63]  Drew Wingard MicroNetwork-based integration for SOCs , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[64]  Wang,et al.  System-on-Chip Test Architectures: Nanometer Design for Testability , 2007 .

[65]  Chih-Ang Chen,et al.  BIST/DFT for performance testing of bare dies and MCMs , 1994, Proceedings of ELECTRO '94.

[66]  Sujit Dey,et al.  Self-test methodology for at-speed test of crosstalk in chip interconnects , 2000, DAC.