Power Management and SRAM for Energy-Autonomous and Low-Power Systems
暂无分享,去创建一个
[1] S.R. Sanders,et al. An ultra-low-power power management IC for energy-scavenged Wireless Sensor Nodes , 2008, 2008 IEEE Power Electronics Specialists Conference.
[2] Chin-Long Chen,et al. Error-Correcting Codes for Semiconductor Memory Applications: A State-of-the-Art Review , 1984, IBM J. Res. Dev..
[3] Sudipto Chakraborty,et al. Mixed-signal integrated circuits for self-contained sub-cubic millimeter biomedical implants , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[4] A. Chandrakasan,et al. A 256kb Sub-threshold SRAM in 65nm CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[5] Philip Heidelberger,et al. Fast simulation of rare events in queueing and reliability models , 1993, TOMC.
[6] Atsushi Kawasumi,et al. A process-variation-tolerant dual-power-supply SRAM with 0.179µm2 Cell in 40nm CMOS using level-programmable wordline driver , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[7] David Blaauw,et al. Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[8] David Blaauw,et al. A Sub-200mV 6T SRAM in 0.13μm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[9] A. Chandrakasan,et al. A 180mV FFT processor using subthreshold circuit techniques , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[10] K. D. Wise,et al. A 3D implantable microsystem for intraocular pressure monitoring using a glass-in-silicon reflow process , 2011, 2011 IEEE 24th International Conference on Micro Electro Mechanical Systems.
[11] M.S. Humayun,et al. Microfabricated Implantable Parylene-Based Wireless Passive Intraocular Pressure Sensors , 2008, Journal of Microelectromechanical Systems.
[12] A.P. Chandrakasan,et al. A 65 nm Sub-$V_{t}$ Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter , 2008, IEEE Journal of Solid-State Circuits.
[13] R.H. Dennard,et al. An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches , 2008, IEEE Journal of Solid-State Circuits.
[14] B.C. Paul,et al. Process variation in embedded memories: failure analysis and variation aware architecture , 2005, IEEE Journal of Solid-State Circuits.
[15] Andrew B. Kahng,et al. Timing analysis and optimization implications of bimodal CD distribution in double patterning lithography , 2009, 2009 Asia and South Pacific Design Automation Conference.
[16] David Blaauw,et al. Yield-Driven Near-Threshold SRAM Design , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[17] David Blaauw,et al. Analyzing the impact of Double Patterning Lithography on SRAM variability in 45nm CMOS , 2010, IEEE Custom Integrated Circuits Conference 2010.
[18] Rajiv V. Joshi,et al. Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[19] David Blaauw,et al. A 1.85fW/bit ultra low leakage 10T SRAM with speed compensation scheme , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).
[20] David Blaauw,et al. Crosshairs SRAM — An adaptive memory for mitigating parametric failures , 2010, 2010 Proceedings of ESSCIRC.
[21] Toshihiro Sugii,et al. Dynamic threshold pass-transistor logic for improved delay at lower power supply voltages , 1999, IEEE J. Solid State Circuits.
[22] Brian P. Otis,et al. A 2.3μW wireless intraocular pressure/temperature monitor , 2011, 2010 IEEE Asian Solid-State Circuits Conference.
[23] Hiroyuki Yamauchi,et al. A 45nm dual-port SRAM with write and read capability enhancement at low voltage , 2007, 2007 IEEE International SOC Conference.
[24] Perwez Shahabuddin,et al. Importance sampling for the simulation of highly reliable Markovian systems , 1994 .
[25] E. Seevinck,et al. Static-noise margin analysis of MOS SRAM cells , 1987 .
[26] R. Rosner,et al. SRAM Redundancy - Silicon Area versus Number of Repairs Trade-off , 2008, 2008 IEEE/SEMI Advanced Semiconductor Manufacturing Conference.
[27] K. Strunz,et al. 20mV input boost converter for thermoelectric energy harvesting , 2009, 2009 Symposium on VLSI Circuits.
[28] David Blaauw,et al. A dense 45nm half-differential SRAM with lower minimum operating voltage , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).
[29] Jason Liu,et al. A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[30] Andreas Moshovos,et al. Low-leakage asymmetric-cell SRAM , 2002, ISLPED '02.
[31] B.J. Hosticka,et al. A programmable intraocular CMOS pressure sensor system implant , 2001, Proceedings of the 26th European Solid-State Circuits Conference.
[32] David Blaauw,et al. A highly resilient routing algorithm for fault-tolerant NoCs , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[33] A. Bertsch,et al. A soft contact lens with a MEMS strain gage embedded for intraocular pressure monitoring , 2003, TRANSDUCERS '03. 12th International Conference on Solid-State Sensors, Actuators and Microsystems. Digest of Technical Papers (Cat. No.03TH8664).
[34] Anna W. Topol,et al. Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..
[35] Robert Aitken,et al. Worst-case design and margin for embedded SRAM , 2007 .
[36] S.R. Sanders,et al. Analysis and Optimization of Switched-Capacitor DC–DC Converters , 2008, IEEE Transactions on Power Electronics.
[37] Gordon Bell,et al. Effect of Technology on Near Term Computer Structures , 1972, Computer.
[38] Christos A. Papachristou,et al. A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[39] David Blaauw,et al. A 0.5V 2.2pW 2-transistor voltage reference , 2009, 2009 IEEE Custom Integrated Circuits Conference.
[40] G.K. Balachandran,et al. A 110 nA Voltage Regulator System With Dynamic Bandwidth Boosting for RFID Systems , 2006, IEEE Journal of Solid-State Circuits.
[41] Marcel J. M. Pelgrom,et al. Transistor matching in analog CMOS applications , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).
[42] A.P. Chandrakasan,et al. Voltage Scalable Switched Capacitor DC-DC Converter for Ultra-Low-Power On-Chip Applications , 2007, 2007 IEEE Power Electronics Specialists Conference.
[43] K. Roy,et al. A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.
[44] David Blaauw,et al. A 128kb high density portless SRAM using hierarchical bitlines and thyristor sense amplifiers , 2011, 2011 12th International Symposium on Quality Electronic Design.
[45] A.P. Chandrakasan,et al. Minimum Energy Tracking Loop With Embedded DC–DC Converter Enabling Ultra-Low-Voltage Operation Down to 250 mV in 65 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[46] Mani B. Srivastava,et al. Design considerations for solar energy harvesting wireless embedded systems , 2005, IPSN 2005. Fourth International Symposium on Information Processing in Sensor Networks, 2005..
[47] Kaushik Roy,et al. Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[48] Koji Nii,et al. A 45-nm Bulk CMOS Embedded SRAM With Improved Immunity Against Process and Temperature Variations , 2008, IEEE Journal of Solid-State Circuits.
[49] Joel Schesser,et al. Interfacing a tonometer with a microcontroller to monitor diurnal intraocular pressure variations , 2010, Proceedings of the 2010 IEEE 36th Annual Northeast Bioengineering Conference (NEBEC).
[50] Ching-Te Chuang,et al. Asymmetrical SRAM Cells with Enhanced Read and Write Margins , 2007, 2007 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA).
[51] C. Riordan,et al. What is an air mass 1.5 spectrum? (solar cell performance calculations) , 1990, IEEE Conference on Photovoltaic Specialists.
[52] K. Katuri,et al. Intraocular Pressure Monitoring Sensors , 2008, IEEE Sensors Journal.
[53] David Blaauw,et al. A hybrid DC-DC converter for sub-microwatt sub-1V implantable applications , 2009, 2009 Symposium on VLSI Circuits.
[54] David Blaauw,et al. Reconfigurable energy efficient near threshold cache architectures , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[55] H. Pilo,et al. An SRAM Design in 65-nm Technology Node Featuring Read and Write-Assist Circuits to Expand Operating Voltage , 2007, IEEE Journal of Solid-State Circuits.
[56] Kaushik Roy,et al. Dynamic Vt SRAM: a leakage tolerant cache memory for low voltage microprocessors , 2002, ISLPED '02.
[57] K. Ishibashi,et al. A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits , 2007, IEEE Journal of Solid-State Circuits.
[58] David Blaauw,et al. Theoretical and practical limits of dynamic voltage scaling , 2004, Proceedings. 41st Design Automation Conference, 2004..