AVid: Annotation driven video decoding for hybrid memories

Adopting emerging non-volatile memory (NVM) technologies is a viable solution to minimize the increasing memory leakage power in today's embedded systems. However, in order to take advantage of the many benefits in NVMs, software must account for their high write overheads. This paper presents AVid, an annotation driven video decoding technique for hybrid memory subsystems. AVid exploits the physical characteristics of NVMs by extracting video decoder access patterns and uses this meta-information to minimize write overheads, thereby improving energy savings and performance. Our experimental results on an annotation-aware H.264 codec show that our technique is able to achieve execution time and energy reduction by up to 40.8% and 39.7% respectively when applied to H.264 decoding.

[1]  Samarjit Chakraborty,et al.  Using offline bitstream analysis for power-aware video decoding in portable devices , 2005, MULTIMEDIA '05.

[2]  Nikil D. Dutt,et al.  Video Stream Annotations for Energy Trade-offs in Multimedia Applications , 2006, 2006 Fifth International Symposium on Parallel and Distributed Computing.

[3]  Yiran Chen,et al.  A novel architecture of the 3D stacked MRAM L2 cache for CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[4]  Tajana Simunic,et al.  PDRAM: A hybrid PRAM and DRAM main memory system , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[5]  Nikil D. Dutt,et al.  HaVOC: A hybrid memory-aware virtualization layer for on-chip distributed ScratchPad and Non-Volatile Memories , 2012, DAC Design Automation Conference 2012.

[6]  Iain E. Richardson,et al.  The H.264 Advanced Video Compression Standard: Richardson/The H.264 Advanced Video Compression Standard , 2010 .

[7]  Naehyuck Chang,et al.  Energy- and endurance-aware design of phase change memory caches , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[8]  Wei-Che Tseng,et al.  Towards energy efficient hybrid on-chip Scratch Pad Memory with non-volatile memory , 2011, 2011 Design, Automation & Test in Europe.

[9]  Xiaoxia Wu,et al.  Hybrid cache architecture with disparate memory technologies , 2009, ISCA '09.

[10]  Nikil D. Dutt,et al.  Software Annotations for Power Optimization on Mobile Devices , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[11]  Luca Benini,et al.  Contents provider-assisted dynamic voltage scaling for low energy multimedia applications , 2002, ISLPED '02.

[12]  Jun Yang,et al.  A durable and energy efficient main memory using phase change memory technology , 2009, ISCA '09.

[13]  Lieven Eeckhout,et al.  Scenario-Based Resource Prediction for QoS-Aware Media Processing , 2010, Computer.

[14]  Paolo Faraboschi,et al.  Operating System Support for NVM+DRAM Hybrid Main Memory , 2009, HotOS.

[15]  Sangyeun Cho,et al.  A content-aware block placement algorithm for reducing PRAM storage bit writes , 2010, 2010 IEEE 26th Symposium on Mass Storage Systems and Technologies (MSST).

[16]  Alex Orailoglu,et al.  Application specific non-volatile primary memory for embedded systems , 2008, CODES+ISSS '08.

[17]  Mahmut T. Kandemir,et al.  Leakage Current: Moore's Law Meets Static Power , 2003, Computer.

[18]  Minming Li,et al.  Power-Aware Variable Partitioning for DSPs With Hybrid PRAM and DRAM Main Memory , 2011, IEEE Transactions on Signal Processing.

[19]  Luiz André Barroso,et al.  The Case for Energy-Proportional Computing , 2007, Computer.

[20]  Henk Corporaal,et al.  Application Scenarios in Streaming-Oriented Embedded-System Design , 2008, IEEE Design & Test of Computers.

[21]  Iain E. G. Richardson,et al.  The H.264 Advanced Video Compression Standard , 2010 .

[22]  Karthik Dantu,et al.  Frame-based dynamic voltage and frequency scaling for a MPEG decoder , 2002, ICCAD 2002.

[23]  Chita R. Das,et al.  Architecting on-chip interconnects for stacked 3D STT-RAM caches in CMPs , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[24]  Andreas Moshovos,et al.  Low-leakage asymmetric-cell SRAM , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[25]  Sarma B. K. Vrudhula,et al.  A scalable parallel H.264 decoder on the cell broadband engine architecture , 2009, CODES+ISSS '09.

[26]  M. Suzuoki,et al.  Overview of the architecture, circuit design, and physical implementation of a first-generation cell processor , 2006, IEEE Journal of Solid-State Circuits.

[27]  Rami G. Melhem,et al.  Using PCM in Next-generation Embedded Space Applications , 2010, 2010 16th IEEE Real-Time and Embedded Technology and Applications Symposium.