Impact of manufacturing process variations on performance and thermal characteristics of 3D ICs: Emerging challenges and new solutions
暂无分享,去创建一个
[1] Diana Marculescu,et al. A learning-based autoregressive model for fast transient thermal analysis of chip-multiprocessors , 2012, 17th Asia and South Pacific Design Automation Conference.
[2] Sherief Reda,et al. Strategies for improving the parametric yield and profits of 3D ICs , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[3] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[4] Ankur Jain,et al. Die/wafer stacking with reciprocal design symmetry (RDS) for mask reuse in three-dimensional (3D) integration technology , 2009, 2009 10th International Symposium on Quality Electronic Design.
[5] Koushik Chakraborty,et al. Rethinking Threshold Voltage Assignment in 3D Multicore Designs , 2010, 2010 23rd International Conference on VLSI Design.
[6] Siddharth Garg,et al. 3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs , 2009, 2009 10th International Symposium on Quality Electronic Design.
[7] Siddharth Garg,et al. Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations , 2011, 2011 Design, Automation & Test in Europe.
[8] Kaustav Banerjee,et al. A thermally-aware performance analysis of vertically integrated (3-D) processor-memory hierarchy , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[9] Yao-Wen Chang,et al. Statistical thermal modeling and optimization considering leakage power variations , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[10] Siddharth Garg,et al. System-level process variability analysis and mitigation for 3D MPSoCs , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[11] Kevin Skadron,et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.