Evolving challenges and techniques for nanometer SoC clock network synthesis

With continued technology scaling, increased variability effects and growing design complexity, the problem of clock network synthesis is becoming more challenging. In this paper, we discuss the key issues encountered while synthesizing the clock network. Furthermore, we present a clock tree resynthesis methodology to address some of the above challenges. It involves incremental modification on an already synthesized/routed clock tree for multi-corner multi-mode timing closure and has been validated on industrial designs using cutting-edge technology nodes.

[1]  David Z. Pan,et al.  Novel binary linear programming for high performance clock mesh synthesis , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[2]  Cheng-Kok Koh,et al.  Cross link insertion for improving tolerance to variations in clock network synthesis , 2011, ISPD '11.

[3]  M.D.F. Wong Low power design with multi-Vdd and voltage islands (Abstract) , 2007, 2007 7th International Conference on ASIC.

[4]  Ren-Song Tsay,et al.  An exact zero-skew clock routing algorithm , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  David Z. Pan,et al.  MeshWorks: An efficient framework for planning, synthesis and optimization of clock mesh networks , 2008, 2008 Asia and South Pacific Design Automation Conference.

[6]  Israel Koren,et al.  Design Flow for Flip-Flop Grouping in Data-Driven Clock Gating , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Jiang Hu,et al.  Delay-optimal simultaneous technology mapping and placement with applications to timing optimization , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[8]  Chih-Cheng Hsu,et al.  Power optimization for clock network with clock gate cloning and flip-flop merging , 2014, ISPD '14.

[9]  David Z. Pan,et al.  Clock Tree Resynthesis for Multi-Corner Multi-Mode Timing Closure , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Marios C. Papaefthymiou,et al.  Maximizing performance by retiming and clock skew scheduling , 1999, DAC '99.

[11]  Sachin S. Sapatnekar,et al.  A graph-theoretic approach to clock skew optimization , 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.

[12]  Jeng-Liang Tsai,et al.  Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  John P. Fishburn,et al.  Clock Skew Optimization , 1990, IEEE Trans. Computers.

[14]  Dongjin Lee,et al.  Obstacle-Aware Clock-Tree Shaping During Placement , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Yici Cai,et al.  Clock-Tree Aware Placement Based on Dynamic Clock-Tree Building , 2007, 2007 IEEE International Symposium on Circuits and Systems.

[16]  D. Boning,et al.  Technology scaling impact of variation on clock skew and interconnect delay , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).

[17]  Shmuel Wimer,et al.  A Look-Ahead Clock Gating Based on Auto-Gated Flip-Flops , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

[18]  Baris Taskin,et al.  Post-CTS clock skew scheduling with limited delay buffering , 2009, 2009 52nd IEEE International Midwest Symposium on Circuits and Systems.

[19]  David Z. Pan,et al.  Skew Management of NBTI Impacted Gated Clock Trees , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  H. Wong,et al.  CMOS scaling into the nanometer regime , 1997, Proc. IEEE.

[21]  Yongqiang Lyu,et al.  Useful clock skew optimization under a multi-corner multi-mode design framework , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[22]  Amit Chowdhary,et al.  Timing driven force directed placement with physical net constraints , 2003, ISPD '03.

[23]  Sung-Woo Hur,et al.  Timing driven maze routing , 1999, ISPD '99.

[24]  Andrew B. Kahng,et al.  Zero-skew clock routing trees with minimum wirelength , 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

[25]  David Z. Pan,et al.  Robust chip-level clock tree synthesis for SOC designs , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[26]  David Z. Pan,et al.  Variation tolerant buffered clock network synthesis with cross links , 2006, ISPD '06.

[27]  Stephan Held,et al.  Post-routing latch optimization for timing closure , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[28]  Vaibhav Nawale,et al.  Optimal useful clock skew scheduling in the presence of variations using robust ILP formulations , 2006, ICCAD.

[29]  Edwin Hsing-Mean Sha,et al.  Retiming and clock skew for synchronous systems , 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.

[30]  Dake Liu,et al.  Power consumption estimation in CMOS VLSI chips , 1994, IEEE J. Solid State Circuits.

[31]  Rakesh Chadha,et al.  Static Timing Analysis for Nanometer Designs: A Practical Approach , 2009 .

[32]  Jae-Seok Yang,et al.  Robust Clock Tree Synthesis with timing yield optimization for 3D-ICs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).