Fundamentals of timing information for test: How simple can we get?

Testing for small delay defects requires ATPG-FS tools to understand timing information of the design such that transition delay faults can be detected along longer paths. In this paper, timing information is analyzed for use in test automation tools to test for small delay defects. Fundamentals of static timing analysis are analyzed with regard to test. This paper concludes that Signal Integrity information can be ignored by test automation tools when timing information is used to guide ATPG tools towards longer paths. This paper also shows that a lack of understanding of clock trees in the long path ATPG algorithm leads to incorrect results.

[1]  Haihua Yan,et al.  Evaluating the effectiveness of detecting delay defects in the slack interval: a simulation study , 2004, 2004 International Conferce on Test.

[2]  Weiping Shi,et al.  K longest paths per gate (KLPG) test generation for scan-based sequential circuits , 2004, 2004 International Conferce on Test.

[3]  Lawrence T. Pileggi,et al.  TACO: timing analysis with COupling , 2000, Proceedings 37th Design Automation Conference.

[4]  Chen Wang,et al.  Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects , 2006, 2006 15th Asian Test Symposium.

[5]  Janusz Rajski,et al.  High-frequency, at-speed scan testing , 2003, IEEE Design & Test of Computers.

[6]  Phil Nigh,et al.  Test method evaluation experiments and data , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[7]  Jing Wang,et al.  K longest paths per gate (KLPG) test generation for scan-based sequential circuits , 2004 .

[8]  Ananta K. Majhi,et al.  On hazard-free patterns for fine-delay fault testing , 2004 .

[9]  L. H. Goldstein,et al.  Controllability/observability analysis of digital circuits , 1978 .

[10]  C. P. Ravikumar,et al.  At-speed transition fault testing with low speed scan enable , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

[11]  Andrew Ferko,et al.  Low overhead delay testing of ASICs , 2004, 2004 International Conferce on Test.

[12]  Jindrich Zejda,et al.  General framework for removal of clock network pessimism , 2002, ICCAD 2002.

[13]  Spyros Tragoudas,et al.  A critical path selection method for delay testing , 2004, 2004 International Conferce on Test.

[14]  Peivand F. Tehrani,et al.  Deep sub-micron static timing analysis in presence of crosstalk , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[15]  Nandakumar Nityananda Tendolkar Analysis of Timing Failures Due to Random AC Defects in VLSI Modules , 1985, DAC 1985.

[16]  Akshay Gupta,et al.  Improving Transition Fault Test Pattern Quality through At-Speed Diagnosis , 2006, 2006 IEEE International Test Conference.

[17]  M. Ray Mercer,et al.  Statistical delay fault coverage and defect level for delay faults , 1988, International Test Conference 1988 Proceeding@m_New Frontiers in Testing.