Slipstream processors: improving both performance and fault tolerance
暂无分享,去创建一个
[1] Shubhendu S. Mukherjee,et al. Transient fault detection via simultaneous multithreading , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[2] Todd M. Austin,et al. DIVA: a reliable substrate for deep submicron microarchitecture design , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[3] Dean M. Tullsen,et al. Simultaneous multithreading: Maximizing on-chip parallelism , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.
[4] Haitham Akkary,et al. A dynamic multithreading processor , 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.
[5] Jenn-Yuan Tsai,et al. The superthreaded architecture: thread pipelining with run-time data dependence checking and control speculation , 1996, Proceedings of the 1996 Conference on Parallel Architectures and Compilation Technique.
[6] James E. Smith,et al. Modeling program predictability , 1998, ISCA.
[7] Yale N. Patt,et al. Simultaneous subordinate microthreading (SSMT) , 1999, ISCA.
[8] Olivier Temam,et al. Dataflow analysis of branch mispredictions and its application to early resolution of branch outcomes , 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.
[9] Gurindar S. Sohi,et al. The use of multithreading for exception handling , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[10] Paul I. Rubinfeld. Managing Problems at High Speed , 1998 .
[11] Mikko H. Lipasti,et al. Value locality and load value prediction , 1996, ASPLOS VII.
[12] Eric Rotenberg,et al. AR-SMT: a microarchitectural approach to fault tolerance in microprocessors , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).
[13] Todd C. Mowry,et al. The potential for using thread-level data speculation to facilitate automatic parallelization , 1998, Proceedings 1998 Fourth International Symposium on High-Performance Computer Architecture.
[14] Kevin O'Brien,et al. Single-program speculative multithreading (SPSM) architecture: compiler-assisted fine-grained multithreading , 1995, PACT.
[15] Jian Huang,et al. Exploiting basic block value locality with block reuse , 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.
[16] Dean M. Tullsen,et al. Storageless value prediction using prior register values , 1999, ISCA.
[17] James E. Smith,et al. Path-based next trace prediction , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[18] Jack L. Lo,et al. Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor , 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).
[19] Stéphan Jourdan,et al. A novel renaming scheme to exploit value temporal locality through physical register reuse and unification , 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.
[20] Eric Rotenberg,et al. Assigning confidence to conditional branch predictions , 1996, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29.
[21] Antonio González,et al. Reducing Memory Traffic Via Redundant Store Instructions , 1999, HPCN Europe.
[22] Kunle Olukotun,et al. Software and Hardware for Exploiting Speculative Parallelism with a Multiprocessor , 1997 .
[23] C. Zilles,et al. Understanding the backward slices of performance degrading instructions , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[24] Andreas Moshovos,et al. Dependence based prefetching for linked data structures , 1998, ASPLOS VIII.
[25] Gurindar S. Sohi,et al. Speculative data-driven multithreading , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[26] Mario Nemirovsky,et al. Increasing superscalar performance through multistreaming , 1995, PACT.
[27] Wen-mei W. Hwu,et al. Compiler-directed dynamic computation reuse: rationale and initial results , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[28] Mikko H. Lipasti,et al. On the value locality of store instructions , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[29] Kunle Olukotun,et al. The case for a single-chip multiprocessor , 1996, ASPLOS VII.
[30] Eric Rotenberg,et al. Exploiting Large Ineffectual Instruction Sequences , 1999 .
[31] Gurindar S. Sohi,et al. An empirical analysis of instruction repetition , 1998, ASPLOS VIII.
[32] D. Burger,et al. Datascalar Architectures , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.
[33] Milo M. K. Martin,et al. Exploiting dead value information , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[34] G.S. Sohi,et al. Dynamic instruction reuse , 1997, ISCA '97.
[35] Antonio González,et al. Trace-level reuse , 1999, Proceedings of the 1999 International Conference on Parallel Processing.
[36] David Ronfeldt. Social Science at 190 MPH on NASCAR's Biggest Superspeedways , 2000, First Monday.
[37] Mikko H. Lipasti. Value locality and speculative execution , 1998 .