A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks
暂无分享,去创建一个
Chita R. Das | Narayanan Vijaykrishnan | Jongman Kim | Chrysostomos Nicopoulos | Mazin S. Yousif | Dongkook Park | C. Das | N. Vijaykrishnan | C. Nicopoulos | Dongkook Park | Jongman Kim
[1] Paul Barford,et al. Generating representative Web workloads for network and server performance evaluation , 1998, SIGMETRICS '98/PERFORMANCE '98.
[2] Timothy Mark Pinkston,et al. Evaluation of Crossbar Architectures for Deadlock Recovery Routers , 2001, J. Parallel Distributed Comput..
[3] Radu Marculescu. Networks-on-chip: the quest for on-chip fault-tolerant communication , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..
[4] Chita R. Das,et al. A low latency router supporting adaptivity for on-chip interconnects , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[5] William J. Dally,et al. Microarchitecture of a High-Radix Router , 2005, ISCA 2005.
[6] William J. Dally,et al. A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[7] Suresh Chalasani,et al. Fault-tolerance with multimodule routers , 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.
[8] Li-Shiuan Peh,et al. High-level power analysis for on-chip networks , 2004, CASES '04.
[9] Santithorn Bunchua,et al. HiPER: A Compact Narrow Channel Router with Hop-by-Hop Error Correction , 2002, IEEE Trans. Parallel Distributed Syst..
[10] Dean M. Tullsen,et al. Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[11] Sharad Malik,et al. Power-driven design of router microarchitectures in on-chip networks , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[12] Dean M. Tullsen,et al. Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling , 2005, ISCA 2005.
[13] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[14] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[15] Simon W. Moore,et al. Low-latency virtual-channel routers for on-chip networks , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[16] Kees Goossens,et al. Concepts and Implementation of the Philips Network-on-Chip , 2003 .
[17] Sharad Malik,et al. A technology-aware and energy-oriented topology exploration for on-chip networks , 2005, Design, Automation and Test in Europe.
[18] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[19] Henry Hoffmann,et al. The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.
[20] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.
[21] Kees Goossens,et al. AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.
[22] Sudhakar Yalamanchili,et al. Performance Evaluation of the Multimedia Router with MPEG-2 Video Traffic , 1999, CANPC.
[23] Li Shang,et al. Thermal Modeling, Characterization and Management of On-Chip Networks , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).
[24] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[25] Luca Benini,et al. ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip , 2004, DATE.
[26] G. De Micheli,et al. Guest Editors' Introduction: The Network-on-Chip Paradigm in Practice and Research , 2005, IEEE Des. Test Comput..
[27] Jaehyuk Huh,et al. Exploiting ILP, TLP, and DLP with the Polymorphous TRIPS Architecture , 2003, IEEE Micro.
[28] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[29] Radu Marculescu,et al. DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..
[30] Radu Marculescu,et al. Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.
[31] Donald Yeung,et al. SimpleFit: A Framework for Analyzing Design Trade-Offs in Raw Architectures , 2001, IEEE Trans. Parallel Distributed Syst..