Domain decomposition methods for the rapid electromagnetic simulation of photomask scattering

An integrated methodology has been developed for computer simulation of electromagnetic scattering from large, nonperiodic, two- dimensional layouts of advanced photomasks (masks with optical prox- imity correction and phase-shifting masks). The domain decomposition method consists of three steps: First, by virtue of the linearity of the Kirchhoff-Fresnel diffraction integral, the mask layout is decomposed into a set of constituent single-opening masks. Second, the rigorous electromagnetic simulation of each three-dimensional structure from the set of these single-opening masks is circumvented and, instead, the re- sult for the scattered field is synthesized based on two two-dimensional rigorous electromagnetic simulations that model the mask geometry in two cross-sectional planes. Subsequently, compact equivalent source models are used to describe the scattered fields on a reference plane. These models are constructed in such a way as to minimize the error in the part of the diffraction spectrum that passes through the projection system, allowing accurate and efficient image simulation. The normal- ized mean square error of the near scattered field is typically a fraction of 1% and speed-up factors for the total simulation time in excess of 400 (compared with the rigorous mask model) are achieved. The use of a look-up table approach facilitates orders of magnitude of further speed improvement. © 2002 Society of Photo-Optical Instrumentation Engineers.

[1]  Emil Wolf,et al.  Principles of Optics: Contents , 1999 .

[2]  C. Pierrat,et al.  Phase-shifting mask topography effects on lithographic image quality , 1993, 1992 International Technical Digest on Electron Devices Meeting.

[3]  C.-M. Yuan,et al.  Calculation of one-dimensional lithographic aerial images using the vector theory , 1993 .

[4]  Michael S. Yeung,et al.  Extension of the Hopkins theory of partially coherent imaging to include thin-film interference effects , 1993, Advanced Lithography.

[5]  Avideh Zakhor,et al.  Large-area phase-shift mask design , 1994, Advanced Lithography.

[6]  A. Neureuther,et al.  Mask topography effects in projection printing of phase-shifting masks , 1994 .

[7]  Andrew R. Neureuther,et al.  Rigorous three-dimensional time-domain finite-difference electromagnetic simulation , 1995 .

[8]  Lars W. Liebmann,et al.  Pattern-dependent correction of mask topography effects for alternating phase-shifting masks , 1995, Advanced Lithography.

[9]  Avideh Zakhor,et al.  Mathematical and CAD framework for proximity correction , 1996, Advanced Lithography.

[10]  T. Milster,et al.  Theory of high-NA imaging in homogeneous thin films , 1996 .

[11]  Thomas V. Pistor,et al.  Simulation of reflective notching with TEMPEST , 1997, Advanced Lithography.

[12]  Mohammad R. Taghizadeh,et al.  Electromagnetic analysis of fan-out gratings and diffractive cylindrical lens arrays by field stitching , 1997 .

[13]  D W Prather,et al.  Field stitching algorithm for the analysis of electrically large diffractive optical elements. , 1999, Optics letters.

[14]  Ronald L. Gordon,et al.  Optimizing edge topography of alternating phase-shift masks using rigorous mask modeling , 2000, Advanced Lithography.

[15]  Jo Finders,et al.  Forbidden pitches for 130-nm lithography and below , 2000, Advanced Lithography.

[16]  J Turunen,et al.  Effects of abrupt surface-profile transitions in nonparaxial diffractive optics. , 2001, Journal of the Optical Society of America. A, Optics, image science, and vision.

[17]  Konstantinos Adam,et al.  Effects of shifter edge topography on through focus performance , 2001, SPIE Photomask Technology.

[18]  Emile Sahouria,et al.  Phase and transmission errors aware OPC solution for PSM: feasability demonstration , 2001, SPIE Photomask Technology.

[19]  Yuri Granik,et al.  MEEF as a matrix , 2002, SPIE Photomask Technology.

[20]  Alan E. Rosenbluth,et al.  Optimum mask and source patterns to print a given shape , 2002 .