Redundant Local-Loop Insertion for Unidirectional Routing

As the semiconductor manufacturing technology continues to scale down to sub-10 nm, unidirectional layout style has become the mainstream for lower metal layers with tight pitches. Conventional redundant via (RV) insertion for yield improvement has become obsolete because unidirectional routing patterns forbid off-track routing, i.e., wire bending, for the metal coverage of RVs. To enhance the yield, redundant local-loop insertion (RLLI) is a new way of inserting RVs due to its compatibility with the unidirectional layout style. This paper proposes the first global optimization engine for RLLI considering advanced manufacturing constraints. Our key contributions include bounded timing impact analysis and evaluation for the local-loop structure, net-based local-loop candidate generation and pruning, an integer linear programming (ILP) formulation and scalable iterative relaxation/linear programming solving (IRLS) with incremental search scheme. Experimental results demonstrate that with bounded timing impact (within 1%), the ILP formulation obtains highest insertion rate while the IRLS with incremental search scheme achieves scalable solutions with competitive solution qualities.

[1]  Geir Dahl,et al.  LP based heuristics for the multiple knapsack problem with assignment restrictions , 2006, Ann. Oper. Res..

[2]  Kuang-Yao Lee,et al.  Redundant via insertion with wire bending , 2009, ISPD '09.

[3]  Meng-Kai Hsu,et al.  Design and manufacturing process co-optimization in nano-technology , 2014, ICCAD.

[4]  David Z. Pan,et al.  Self-Aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-Optimization , 2015, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Carver Mead,et al.  Signal Delay in General RC Networks , 1984, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Kuang-Yao Lee,et al.  Post-routing redundant via insertion for yield/reliability improvement , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[7]  David Z. Pan,et al.  PARR: Pin access planning and regular routing for self-aligned double patterning , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[8]  Peter De Bisschop,et al.  Avoiding wafer-print artifacts in spacer is dielectric (SID) patterning , 2013, Advanced Lithography.

[9]  Yuelin Du,et al.  Hybrid lithography optimization with E-Beam and immersion processes for 16nm 1D gridded design , 2012, 17th Asia and South Pacific Design Automation Conference.

[10]  Ting-Chi Wang,et al.  Post-Routing Redundant Via Insertion and Line End Extension with Via Density Consideration , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[11]  Diederik Verkest,et al.  DTCO at N7 and beyond: patterning and electrical compromises and opportunities , 2015, Advanced Lithography.

[12]  Lars W. Liebmann,et al.  Local loops for robust inter-layer routing at sub-20 nm nodes , 2012, Advanced Lithography.

[13]  Chris C. N. Chu,et al.  Throughput optimization for SADP and e-beam based manufacturing of 1D layout , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[14]  Rob A. Rutenbar,et al.  Wire packing: a strong formulation of crosstalk-aware chip-level track/layer assignment with an efficient integer programming solution , 2000, ISPD '00.

[15]  Lars W. Liebmann,et al.  The daunting complexity of scaling to 7NM without EUV: pushing DTCO to the extreme , 2015, Advanced Lithography.

[16]  Cheng-Kok Koh,et al.  Optimal post-routing redundant via insertion , 2008, ISPD '08.

[17]  Dirk Müller,et al.  Yield improvement by local wiring redundancy , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[18]  Wayne Wei-Ming Dai,et al.  Yield-preferred via insertion based on novel geotopological technology , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[19]  Ren-Song Tsay,et al.  How to consider shorts and guarantee yield rate improvement for redundant wire insertion , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[20]  Yu-Min Lee,et al.  Post-routing redundant via insertion with wire spreading capability , 2009, 2009 Asia and South Pacific Design Automation Conference.

[21]  Andrew B. Kahng,et al.  Research directions for coevolution of rules and routers , 2003, ISPD '03.

[22]  Cheng-Kok Koh,et al.  Simultaneous redundant via insertion and line end extension for yield optimization , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).