Single-Event Double-Upset Self-Recoverable and Single-Event Transient Pulse Filterable Latch Design for Low Power Applications

This paper presents a single-event double-upset (SEDU) self-recoverable and single-event transient (SET) pulse filterable latch design for low power applications in 22nm CMOS technology. The latch mainly consists of eight mutually feeding back C-elements and a Schmitt trigger. Simulation results have demonstrated both the SEDU self-recoverability and SET pulse filterability for the latch using redundant silicon area. Using clock gating technology, the latch saves about 54.85% power dissipation on average compared with the up-to-date SEDU self-recoverable latch designs which are not SET pulse filterable at all.

[1]  Cecilia Metra,et al.  High-Performance Robust Latches , 2010, IEEE Transactions on Computers.

[2]  M. Gadlage,et al.  Soft Errors Induced by High-Energy Electrons , 2017, IEEE Transactions on Device and Materials Reliability.

[3]  Mahdi Fazeli,et al.  Low cost soft error hardened latch designs for nano-scale CMOS technology in presence of process variation , 2013, Microelectron. Reliab..

[4]  Xiaoxuan She,et al.  SEU Tolerant Latch Based on Error Detection , 2012, IEEE Transactions on Nuclear Science.

[5]  Bahar Asgari,et al.  Design of Robust SRAM Cells Against Single-Event Multiple Effects for Nanometer Technologies , 2015, IEEE Transactions on Device and Materials Reliability.

[6]  Spyros Tragoudas,et al.  Radiation Hardened Latch Designs for Double and Triple Node Upsets , 2017, IEEE Transactions on Emerging Topics in Computing.

[7]  Kiamal Z. Pekmestzi,et al.  DIRT latch: A novel low cost double node upset tolerant latch , 2017, Microelectron. Reliab..

[8]  Yong-Bin Kim,et al.  A Novel Scheme for Tolerating Single Event/Multiple Bit Upsets (SEU/MBU) in Non-Volatile Memories , 2016, IEEE Transactions on Computers.

[9]  Hai Huang,et al.  Novel Radiation-Hardened-by-Design (RHBD) 12T Memory Cell for Aerospace Applications in Nanoscale CMOS Technology , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Vojin G. Oklobdzija,et al.  Low-Power Soft Error Hardened Latch , 2010, J. Low Power Electron..

[11]  Yuanqing Li,et al.  Double Node Upsets Hardened Latch Circuits , 2015, J. Electron. Test..

[12]  Ahmad Patooghy,et al.  Low energy single event upset/single event transient-tolerant latch for deep subMicron technologies , 2009, IET Comput. Digit. Tech..

[13]  Xiaoqing Wen,et al.  A Double-Node-Upset Self-Recoverable Latch Design for High Performance and Low Power Application , 2019, IEEE Transactions on Circuits and Systems II: Express Briefs.

[14]  Jaspal Singh Shah,et al.  A 32 kb Macro with 8T Soft Error Robust, SRAM Cell in 65-nm CMOS , 2015, IEEE Transactions on Nuclear Science.

[15]  J. S. Kauppila,et al.  An Area Efficient Stacked Latch Design Tolerant to SEU in 28 nm FDSOI Technology , 2016, IEEE Transactions on Nuclear Science.

[16]  Massimo Alioto,et al.  Variations in Nanometer CMOS Flip-Flops: Part I—Impact of Process Variations on Timing , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

[17]  Xiaoxuan She,et al.  Time Multiplexed Triple Modular Redundancy for Single Event Upset Mitigation , 2009, IEEE Transactions on Nuclear Science.

[18]  P. E. Dodd,et al.  Physics of Multiple-Node Charge Collection and Impacts on Single-Event Characterization and Soft Error Rate Prediction , 2013, IEEE Transactions on Nuclear Science.

[19]  Huaguo Liang,et al.  A High Performance SEU Tolerant Latch , 2015, J. Electron. Test..

[20]  T.M. Mak,et al.  Built-In Soft Error Resilience for Robust System Design , 2007, 2007 IEEE International Conference on Integrated Circuit Design and Technology.

[21]  Xu Hui,et al.  Circuit and layout combination technique to enhance multiple nodes upset tolerance in latches , 2015, IEICE Electron. Express.

[22]  P. Reviriego,et al.  Reliability Analysis of Memories Suffering Multiple Bit Upsets , 2007, IEEE Transactions on Device and Materials Reliability.

[23]  Tianqi Wang,et al.  Low cost and highly reliable radiation hardened latch design in 65 nm CMOS technology , 2015, Microelectron. Reliab..

[24]  Yiorgos Tsiatouhas,et al.  Soft error interception latch: double node charge sharing SEU tolerant design , 2015 .

[25]  Huaguo Liang,et al.  A Self-Recoverable, Frequency-Aware and Cost-Effective Robust Latch Design for Nanoscale CMOS Technology , 2015, IEICE Trans. Electron..

[26]  Kiamal Z. Pekmestzi,et al.  DONUT: A Double Node Upset Tolerant Latch , 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

[27]  Maryam Shojaei Baghini,et al.  Robust Soft Error Tolerant CMOS Latch Configurations , 2016, IEEE Transactions on Computers.