High Performance CMOS Variability in the 65nm Regime and Beyond
暂无分享,去创建一个
Sani R. Nassif | Edward J. Nowak | Wilfried Haensch | Anne E. Gattiker | Norman J. Rohrer | Brian L. Ji | Kerry Bernstein | David J. Frank | Dale J. Pearson | E. Nowak | D. Frank | W. Haensch | S. Nassif | K. Bernstein | D. Pearson | B. Ji | A. Gattiker | N. Rohrer
[1] E. Takeda,et al. Hot-carrier effects in submicrometre MOS VLSIs , 1984 .
[2] D. Hisamoto,et al. A fully depleted lean-channel transistor (DELTA)-a novel vertical ultra thin SOI MOSFET , 1989, International Technical Digest on Electron Devices Meeting.
[3] C. Lichtenau,et al. PowerPC 970 in 130 nm and 90 nm technologies , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[4] Sani R. Nassif,et al. Fast power grid simulation , 2000, Proceedings 37th Design Automation Conference.
[5] A. Gattiker,et al. Timing yield estimation from static timing analysis , 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.
[6] D. Frank,et al. Simulation of stochastic doping effects in Si MOSFETs , 2000, 7th International Workshop on Computational Electronics. Book of Abstracts. IWCE (Cat. No.00EX427).
[7] Duane S. Boning,et al. Analysis and decomposition of spatial variation in integrated circuit processes and devices , 1997 .
[8] Chandramouli Visweswariah,et al. Statistical Timing for Parametric Yield Prediction of Digital Integrated Circuits , 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9] S. Nassif,et al. Modeling the effects of manufacturing variation on high-speed microprocessor interconnect performance , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).
[10] P. Bai,et al. A 90 nm logic technology featuring 50 nm strained silicon channel transistors, 7 layers of Cu interconnects, low k ILD, and 1 /spl mu/m/sup 2/ SRAM cell , 2002, Digest. International Electron Devices Meeting,.
[11] James D. Meindl,et al. Impact of extrinsic and intrinsic parameter variations on CMOS system on a chip performance , 1999, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454).
[12] S.R. Nassif. Within-chip variability analysis , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).
[13] Harold S. Stone,et al. A Parallel Algorithm for the Efficient Solution of a General Class of Recurrence Equations , 1973, IEEE Transactions on Computers.
[14] David J. Frank,et al. Nanoscale CMOS , 1999, Proc. IEEE.
[15] Tack-Don Han,et al. Fast area-efficient VLSI adders , 1987, 1987 IEEE 8th Symposium on Computer Arithmetic (ARITH).
[16] Kaushik Roy,et al. Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits , 2005 .
[17] P. Murfet,et al. A 6.4Gb/s CMOS SerDes core with feedforward and decision-feedback equalization , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[18] Aristos Christou,et al. Failure mechanism models for electromigration , 1994 .
[19] A. De Keersgieter,et al. Layout impact on the performance of a locally strained PMOSFET , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..
[20] Jan M. Rabaey,et al. Digital Integrated Circuits: A Design Perspective , 1995 .
[21] D. Lea,et al. High speed 45nm gate length CMOSFETs integrated into a 90nm bulk technology incorporating strain engineering , 2003, IEEE International Electron Devices Meeting 2003.
[22] M. Ieong,et al. Monte Carlo modeling of threshold variation due to dopant fluctuations , 1999, 1999 Symposium on VLSI Circuits. Digest of Papers (IEEE Cat. No.99CH36326).
[23] Asen Asenov,et al. Intrinsic parameter fluctuations in conventional MOSFETs until the end of the ITRS: A statistical simulation study , 2006 .
[24] S. Hareland,et al. Tri-Gate fully-depleted CMOS transistors: fabrication, design and layout , 2003, 2003 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.03CH37407).
[25] Edward J. Nowak,et al. High performance double-gate device technology challenges and opportunities , 2002, Proceedings International Symposium on Quality Electronic Design.
[26] H. T. Kung,et al. A Regular Layout for Parallel Adders , 1982, IEEE Transactions on Computers.
[27] David D. Ling,et al. Power Supply Noise Analysis Methodology For Deep-submicron Vlsi Chip Design , 1997, Proceedings of the 34th Design Automation Conference.
[28] Jonathan L. Cobb,et al. Estimated impact of shot noise in extreme-ultraviolet lithography , 2003, SPIE Advanced Lithography.
[29] A. Toriumi,et al. Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET's , 1994 .
[30] Michael A. Sorna,et al. A 6.4-Gb/s CMOS SerDes core with feed-forward and decision-feedback equalization , 2005, IEEE Journal of Solid-State Circuits.
[31] Aaas News,et al. Book Reviews , 1893, Buffalo Medical and Surgical Journal.
[32] Timothy A. Brunner,et al. Why optical lithography will live forever , 2003 .
[33] H. Yamauchi,et al. Flexible threshold voltage FinFETs with independent double gates and an ideal rectangular cross-section Si-Fin channel , 2003, IEEE International Electron Devices Meeting 2003.
[34] A. Asenov,et al. Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness , 2003 .
[35] P. Stolk,et al. The effect of statistical dopant fluctuations on MOS device performance , 1996, International Electron Devices Meeting. Technical Digest.
[36] Sani R. Nassif,et al. Full chip leakage estimation considering power supply and temperature variations , 2003, ISLPED '03.
[37] Yuan Taur,et al. Device scaling limits of Si MOSFETs and their application dependencies , 2001, Proc. IEEE.
[38] M. Hane,et al. Atomistic 3D process/device simulation considering gate line-edge roughness and poly-Si random crystal orientation effects [MOSFETs] , 2003, IEEE International Electron Devices Meeting 2003.
[39] Andrew R. Brown,et al. Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs , 2003 .
[40] J. Kedzierski,et al. A Fin-type independent-double-gate NFET , 2003, 61st Device Research Conference. Conference Digest (Cat. No.03TH8663).
[41] Chenming Hu,et al. Sub 50-nm FinFET: PMOS , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).
[42] A. Chou,et al. High performance CMOS fabricated on hybrid substrate with different crystal orientations , 2003, IEEE International Electron Devices Meeting 2003.
[43] D. Frank,et al. Discrete random dopant distribution effects in nanometer-scale MOSFETs , 1998 .
[44] David J. Frank,et al. Nanoscale CMOS : Special issue on quantum devices and their applications , 1999 .
[45] D. Frank,et al. Generalized scale length for two-dimensional effects in MOSFETs , 1998, IEEE Electron Device Letters.
[46] P. Stolk,et al. Modeling statistical dopant fluctuations in MOS transistors , 1998 .
[47] N. Aoki,et al. SON (Silicon on Nothing) MOSFET using ESS (Empty Space in Silicon) technique for SoC applications , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).
[48] E. H. Nicollian,et al. Mechanism of negative‐bias‐temperature instability , 1991 .